Sedemos News

jueves, 2 de diciembre de 2010

Table of Contents Alert for Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures


Journal of Vacuum Science & Technology B (Microelectronics and Nanometer Structures)

November 2010

Volume 28, Issue 6, pp. L61-C6S27

[ Bottom of Page ]

Letters

  • Sputtering of (001)AlN thin films: Control of polarity by a seed layer
    E. Milyutin, S. Harada, D. Martin, J. F. Carlin, N. Grandjean, V. Savu, O. Vaszquez-Mena, J. Brugger, and P. Muralt
    pp. L61-L63

    Abstract   Full Text: [ Read Online (HTML)   PDF  (228 kB)  ]    Order
  • Editorial

  • Editorial
    Gerry Lucovsky
    p. P1

    Abstract   Full Text: [ Read Online (HTML)   PDF  (28 kB)  ]    Order
  • Regular Articles

  • Different growth mechanisms of vertical carbon nanotubes by rf- or dc-plasma enhanced chemical vapor deposition at low temperature
    Huiyao Wang and John J. Moore
    pp. 1081-1085

    Abstract   Full Text: [ Read Online (HTML)   PDF  (526 kB)  ]    Order
  • Influence of the tip work function on scanning tunneling microscopy and spectroscopy on zinc doped GaAs
    A. P. Wijnheijmer, J. K. Garleff, M. A. v. d. Heijden, and P. M. Koenraad
    pp. 1086-1092

    Abstract   Full Text: [ Read Online (HTML)   PDF  (599 kB)  ]    Order
  • Field-emission of TiSi2 thin film deposited by an in situ chloride-generated route
    Yemin Hu, Ying Li, Mingyuan Zhu, Zheng Hu, and Leshu Yu
    pp. 1093-1096

    Abstract   Full Text: [ Read Online (HTML)   PDF  (270 kB)  ]    Order
  • Characterization of electrodeposited Ni–Fe–SiC alloys for microelectromechanical applications
    Xiaohu Zheng, Xing Chen, Feng Gu, YuanWei Liu, and Dong-Weon Lee
    pp. 1097-1099

    Abstract   Full Text: [ Read Online (HTML)   PDF  (221 kB)  ]    Order
  • UV ozone passivation of the metal/dielectric interface for HfO2-based organic thin film transistors
    W. M. Tang, W. T. Ng, M. G. Helander, M. T. Greiner, and Z. H. Lu
    pp. 1100-1103

    Abstract   Full Text: [ Read Online (HTML)   PDF  (249 kB)  ]    Order
  • Surface and near-surface modifications of ultralow dielectric constant materials exposed to plasmas under sidewall-like conditions
    Ming-Shu Kuo and G. S. Oehrlein
    pp. 1104-1110

    Abstract   Full Text: [ Read Online (HTML)   PDF  (476 kB)  ]    Order
  • Growth of size and density controlled GaAs/InxGa1−xAs/GaAs (x=0.10) nanowires on anodic alumina membrane-assisted etching of nanopatterned GaAs
    Aloysius A. Gunawan, S. Jha, and T. F. Kuech
    pp. 1111-1119

    Abstract   Full Text: [ Read Online (HTML)   PDF  (694 kB)  ]    Order
  • Complex dielectric function and refractive index spectra of epitaxial CdO thin film grown on r-plane sapphire from 0.74 to 6.45 eV
    S. G. Choi, J. Zúñiga-Pérez, V. Muñoz-Sanjosé, A. G. Norman, C. L. Perkins, and D. H. Levi
    pp. 1120-1124

    Abstract   Full Text: [ Read Online (HTML)   PDF  (330 kB)  ]    Order
  • Formation of three-dimensional and nanowall structures on silicon using a hydrogen-assisted high aspect ratio etching
    S. Azimi, M. Mehran, A. Amini, A. Vali, S. Mohajerzadeh, and M. Fathipour
    pp. 1125-1131

    Abstract   Full Text: [ Read Online (HTML)   PDF  (1944 kB)  ]    Order
  • Statistical-noise effect on discrete power spectrum of line-edge and line-width roughness
    Atsushi Hiraiwa (平岩篤) and Akio Nishida (西田彰男)
    pp. 1132-1137

    Abstract   Full Text: [ Read Online (HTML)   PDF  (486 kB)  ]    Order
  • Defect-free etching process for GaAs/AlGaAs hetero-nanostructure using chlorine/argon mixed neutral beam
    Xuan-Yu Wang, Chi-Hsien Huang, Yuzo Ohno, Mokoto Igarashi, Akihiro Murayama, and Seiji Samukawa
    pp. 1138-1142

    Abstract   Full Text: [ Read Online (HTML)   PDF  (477 kB)  ]    Order
  • Effect of Al doping on resistive switching behavior of NiOx films for nonvolatile memory application
    Jonggi Kim, Heedo Na, Jinho Oh, Dae-Hong Ko, and Hyunchul Sohn
    pp. 1143-1147

    Abstract   Full Text: [ Read Online (HTML)   PDF  (389 kB)  ]    Order
  • Mechanical and electronic characteristics of scanning probe microscopy probes based on coaxial palladium nanowire/carbon nanotube hybrid structures
    Ian Thomas Clark, Gemma Rius, Yuki Matsuoka, and Masamichi Yoshimura
    pp. 1148-1152

    Abstract   Full Text: [ Read Online (HTML)   PDF  (361 kB)  ]    Order
  • CO2 laser treatment for stabilization of the superhydrophobicity of carbon nanotube surfaces
    S. C. Ramos, G. Vasconcelos, E. F. Antunes, A. O. Lobo, V. J. Trava-Airoldi, and E. J. Corat
    pp. 1153-1157

    Abstract   Full Text: [ Read Online (HTML)   PDF  (422 kB)  ]    Order
  • Dose loss of phosphorus due to interface segregation in silicon-on-insulator substrates
    Ruey-Dar Chang, Chia-Chi Ma, and Jung-Ruey Tsai
    pp. 1158-1163

    Abstract   Full Text: [ Read Online (HTML)   PDF  (217 kB)  ]    Order
  • Influence of surface treatment and interface layers on electrical spin injection efficiency and transport in InAs
    L. Zhu and E. T. Yu
    pp. 1164-1168

    Abstract   Full Text: [ Read Online (HTML)   PDF  (361 kB)  ]    Order
  • Electron detection performance of diamond avalanche diode
    Hideo Morishita, Takashi Ohshima, Michio Hatano, Yoko Iwakaji, Osamu Maida, and Toshimichi Ito
    pp. 1169-1172

    Abstract   Full Text: [ Read Online (HTML)   PDF  (473 kB)  ]    Order
  • Thin film transistors with a ZnO channel and gate dielectric layers of HfO2 by atomic layer deposition
    Ronald Grundbacher, Kiran Chikkadi, and Christofer Hierold
    pp. 1173-1178

    Abstract   Full Text: [ Read Online (HTML)   PDF  (345 kB)  ]    Order
  • Silicon nitride hardmask fabrication using a cyclic CHF3-based reactive ion etching process for vertical profile nanostructures
    Peter Kaspar, Yogesh Jeyaram, Heinz Jäckel, Annette Foelske, Rüdiger Kötz, and Sandro Bellini
    pp. 1179-1186

    Abstract   Full Text: [ Read Online (HTML)   PDF  (407 kB)  ]    Order
  • Hydrogen etching and cutting of multiwall carbon nanotubes
    Michael J. Behr, E. Ashley Gaulding, K. Andre Mkhoyan, and Eray S. Aydil
    pp. 1187-1194

    Abstract   Full Text: [ Read Online (HTML)   PDF  (876 kB)  ]    Order
  • Controlled sacrificial sidewall surface micromachining for the release of high length-to-thickness aspect ratio bridges
    Christopher R. Raum, R. Niall Tait, and Robert Gauthier
    pp. 1195-1201

    Abstract   Full Text: [ Read Online (HTML)   PDF  (596 kB)  ]    Order
  • Field emission stability and properties of simultaneously grown microcrystalline diamond and carbon nanostructure films
    Kishore Uppireddi, Brad R. Weiner, and Gerardo Morell
    pp. 1202-1205

    Abstract   Full Text: [ Read Online (HTML)   PDF  (390 kB)  ]    Order
  • Electron beam induced etching of silicon with SF6
    N. Vanhove, P. Lievens, and W. Vandervorst
    pp. 1206-1209

    Abstract   Full Text: [ Read Online (HTML)   PDF  (203 kB)  ]    Order
  • Simultaneous observation of surface topography and elasticity at atomic scale by multifrequency frequency modulation atomic force microscopy
    Yoshitaka Naitoh, Zongmin Ma, Yan Jun Li, Masami Kageshima, and Yasuhiro Sugawara
    pp. 1210-1214

    Abstract   Full Text: [ Read Online (HTML)   PDF  (447 kB)  ]    Order
  • Nonlinearities in depth profiling nanometer layers
    M. P. Seah, C. P. A. Mulcahy, and S. Biswas
    pp. 1215-1221

    Abstract   Full Text: [ Read Online (HTML)   PDF  (455 kB)  ]    Order
  • Challenges in the fabrication of an optical frequency ground plane cloak consisting of silicon nanorod arrays
    J. Blair, D. Brown, V. A. Tamma, W. Park, and C. Summers
    pp. 1222-1230

    Abstract   Full Text: [ Read Online (HTML)   PDF  (1545 kB)  ]    Order
  • Ni full-filling into Al2O3/Al film with etched tunnels using a polyethylene glycol solution bath in electroless-plating
    Joo-Hee Jang, Chang-Hyoung Lee, Woo-Sung Choi, Nam-Jeong Kim, Taek-You Kim, Tae-Yoo Kim, Jang-Hyun Kim, Chan Park, and Su-Jeong Suh
    pp. 1231-1234

    Abstract   Full Text: [ Read Online (HTML)   PDF  (461 kB)  ]    Order
  • Antimonide-based depletion-mode metal-oxide-semiconductor field-effect transistors using small-bandgap InAs channel layers
    H.-K. Lin, G.-Y. Liau, and H.-K. Liu
    pp. 1235-1238

    Abstract   Full Text: [ Read Online (HTML)   PDF  (166 kB)  ]    Order
  • Impact of exposure doses on demolding process in UV nanoimprint lithography
    Toshiaki Tanabe, Noriyoshi Fujii, Masato Matsue, Hiroaki Kawata, and Yoshihiko Hirai
    pp. 1239-1241

    Abstract   Full Text: [ Read Online (HTML)   PDF  (157 kB)  ]    Order
  • Statistical-noise effect on autocorrelation function of line-edge and line-width roughness
    Atsushi Hiraiwa (平岩篤) and Akio Nishida (西田彰男)
    pp. 1242-1250

    Abstract   Full Text: [ Read Online (HTML)   PDF  (802 kB)  ]    Order
  • Thin polymer films viscosity measurements from nanopatterning method
    Tanguy Leveder, Stefan Landis, Nicolas Chaix, and Laurent Davoust
    pp. 1251-1258

    Abstract   Full Text: [ Read Online (HTML)   PDF  (473 kB)  ]    Order
  • Effect of resist on the transfer of line-edge roughness spatial metrics from mask to wafer
    Patrick P. Naulleau and Gregg M. Gallatin
    pp. 1259-1266

    Abstract   Full Text: [ Read Online (HTML)   PDF  (503 kB)  ]    Order
  • Performance and reliability analysis of p-type metal-oxide-semiconductor field effect transistors with various combinations of Ru and Al gate metal
    Hong Bae Park, Chang Seo Park, Chang Yong Kang, Seung-Chul Song, Byoung Hun Lee, Tea Wan Kim, Tae-Young Jang, Dong-Hyoub Kim, Jae Kyeong Jeong, and Rino Choi
    pp. 1267-1270

    Abstract   Full Text: [ Read Online (HTML)   PDF  (119 kB)  ]    Order
  • Structural and optical properties of self-assembled InAs quantum dot molecules on GaAs substrates
    Peng Tian, Lirong Huang, Yi Yu, and Dexiu Huang
    pp. 1271-1273

    Abstract   Full Text: [ Read Online (HTML)   PDF  (240 kB)  ]    Order
  • Fabrication and field emission of carbon nanotubes/TiO2/Ti composite nanostructures
    Jian-Biao Chen, Cheng-Wei Wang, Rui-Sheng Guo, Lin-Qing Wang, Wei-Dong Zhu, Feng Zhou, and Wei-Min Liu
    pp. 1274-1278

    Abstract   Full Text: [ Read Online (HTML)   PDF  (370 kB)  ]    Order
  • Atomic resolution force microscopy imaging on a strongly ionic surface with differently functionalized tips
    T. Arai, S. Gritschneder, L. Tröger, and M. Reichling
    pp. 1279-1283

    Abstract   Full Text: [ Read Online (HTML)   PDF  (289 kB)  ]    Order
  • Electron field emission from well-aligned GaP nanotips
    Hung-Chun Lo, Jeff T. H. Tsai, Jih-Perng Leu, and Chia-Fu Chen
    pp. 1284-1286

    Abstract   Full Text: [ Read Online (HTML)   PDF  (4013 kB)  ]    Order
  • Sputtering behavior and evolution of depth resolution upon low energy ion irradiation of GaAs
    M. J. P. Hopstaken, M. S. Gordon, D. Pfeiffer, D. K. Sadana, T. Topuria, P. M. Rice, C. Gerl, M. Richter, and C. Marchiori
    pp. 1287-1297

    Abstract   Full Text: [ Read Online (HTML)   PDF  (676 kB)  ]    Order
  • Relaxation of misfit strain in silicon-germanium (Si1−xGex) films during dry oxidation
    Jung-Ho Yoo, Sun-Wook Kim, Byoung-Gi Min, Hyunchul Sohn, Dae-Hong Ko, and Mann-Ho Cho
    pp. 1298-1303

    Abstract   Full Text: [ Read Online (HTML)   PDF  (291 kB)  ]    Order
  • Quantifying reaction spread and x-ray exposure sensitivity in hydrogen silsesquioxane latent resist patterns with x-ray spectromicroscopy
    Allison G. Caster, Stefan Kowarik, Adam M. Schwartzberg, Stephen R. Leone, Alexei Tivanski, and Mary K. Gilles
    pp. 1304-1313

    Abstract   Full Text: [ Read Online (HTML)   PDF  (721 kB)  ]    Order
  • Reduction of spin-flip scattering in metallic nonlocal spin valves
    H. Zou, X. J. Wang, and Y. Ji
    pp. 1314-1317

    Abstract   Full Text: [ Read Online (HTML)   PDF  (223 kB)  ]    Order
  • Single-walled carbon nanotube alignment by grating-guided electrostatic self-assembly
    Huifeng Li, Dazhi Sun, Hung-Jue Sue, and Xing Cheng
    pp. 1318-1321

    Abstract   Full Text: [ Read Online (HTML)   PDF  (281 kB)  ]    Order
  • Brief Reports and Comments

  • Moisture effect on electromigration characteristics for copper dual damascene interconnection
    Yi-Lung Cheng, Wei-Yuan Chang, and Ying-Lang Wang
    pp. 1322-1325

    Abstract   Full Text: [ Read Online (HTML)   PDF  (453 kB)  ]    Order
  • Shop Notes

  • Simple derivation of the formula for Sommerfeld supply density used in electron-emission physics and limitations on its use
    Richard G. Forbes
    pp. 1326-1329

    Abstract   Full Text: [ Read Online (HTML)   PDF  (70 kB)  ]    Order
  • PAPERS FROM THE 54th INTERNATIONAL CONFERENCE ON ELECTRON, ION, AND PHOTON BEAM TECHNOLOGY AND NANOFABRICATION

  • Preface
    Martin Feldman
    p. C6a1

    Abstract   Full Text: [ Read Online (HTML)   PDF  (21 kB)  ]    Order

  • Plenary
    [ Next Subject | Issue Index | Top / Bottom of Page]

  • To charge or not to charge: 50 years of lithographic choices
    R. Fabian Pease
    pp. C6A1-C6A6

    Abstract   Full Text: [ Read Online (HTML)   PDF  (935 kB)  ]    Order

  • Directed Assembly
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Argon ion multibeam nanopatterning of Ni–Cu inserts for injection molding
    Anton Koeck, Roman Bruck, Markus Wellenzohn, Rainer Hainberger, Elmar Platzgummer, Hans Loeschner, Peter Joechl, Stefan Eder-Kapl, Christoph Ebm, Peter Czepl, Kurt Kaiblinger, Friedrich Pipelka, Florian Letzkus, Mathias Irmscher, and Bernd Heitkamp
    pp. C6B1-C6B6

    Abstract   Full Text: [ Read Online (HTML)   PDF  (1316 kB)  ]    Order
  • Directed assembly of solution processed single-walled carbon nanotubes via dielectrophoresis: From aligned array to individual nanotube devices
    Paul Stokes and Saiful I. Khondaker
    pp. C6B7-C6B12

    Abstract   Full Text: [ Read Online (HTML)   PDF  (578 kB)  ]    Order
  • Mechanism and dynamics of block copolymer directed assembly with density multiplication on chemically patterned surfaces
    Guoliang Liu, Sean P. Delcambre, Karl O. Stuen, Gordon S. W. Craig, Juan J. de Pablo, Paul F. Nealey, Kim Nygård, Dillip K. Satapathy, Oliver Bunk, and Harun H. Solak
    pp. C6B13-C6B19

    Abstract   Full Text: [ Read Online (HTML)   PDF  (527 kB)  ]    Order
  • Nanostructure fabrication by self-assembly of block copolymers on three-dimensional diamondlike carbon structures
    Hiroyuki Mino, Reo Kometani, Shin-ichi Warisawa, and Sunao Ishihara
    pp. C6B20-C6B23

    Abstract   Full Text: [ Read Online (HTML)   PDF  (275 kB)  ]    Order
  • Shape control and density multiplication of cylinder-forming ternary block copolymer-homopolymer blend thin films on chemical patterns
    Huiman Kang, Francois Detcheverry, Karl O. Stuen, Gordon S. W. Craig, Juan J. de Pablo, Padma Gopalan, and Paul F. Nealey
    pp. C6B24-C6B29

    Abstract   Full Text: [ Read Online (HTML)   PDF  (770 kB)  ]    Order
  • Integration of block copolymer directed assembly with 193 immersion lithography
    Chi-Chun Liu, Paul F. Nealey, Alex K. Raub, Philip J. Hakeem, Steve R. J. Brueck, Eungnak Han, and Padma Gopalan
    pp. C6B30-C6B34

    Abstract   Full Text: [ Read Online (HTML)   PDF  (464 kB)  ]    Order

  • Electron Beams
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • High-current electron optical design for reflective electron beam lithography direct write lithography
    Mark McCord, Shinichi Kojima, Paul Petric, Alan Brodie, and Jeff Sun
    pp. C6C1-C6C5

    Abstract   Full Text: [ Read Online (HTML)   PDF  (244 kB)  ]    Order
  • Reflective electron beam lithography: A maskless ebeam direct write lithography approach using the reflective electron beam lithography concept
    Paul Petric, Chris Bevis, Mark McCord, Allen Carroll, Alan Brodie, Upendra Ummethala, Luca Grella, Anthony Cheung, and Regina Freed
    pp. C6C6-C6C13

    Abstract   Full Text: [ Read Online (HTML)   PDF  (896 kB)  ]    Order
  • 5 kV multielectron beam lithography: MAPPER tool and resist process characterization
    D. Rio, C. Constancias, M. Martin, B. Icard, J. van Nieuwstadt, J. Vijverberg, and L. Pain
    pp. C6C14-C6C20

    Abstract   Full Text: [ Read Online (HTML)   PDF  (649 kB)  ]    Order
  • Excitation and imaging of resonant optical modes of Au triangular nanoantennas using cathodoluminescence spectroscopy
    Anil Kumar, Kin-Hung Fung, James C. Mabon, Edmond Chow, and Nicholas X. Fang
    pp. C6C21-C6C25

    Abstract   Full Text: [ Read Online (HTML)   PDF  (295 kB)  ]    Order
  • Field induced shape and work function modification for the ZrO/W(100) Schottky cathode
    K. Liu, G. A. Schwind, L. W. Swanson, and J. A. Campbell
    pp. C6C26-C6C33

    Abstract   Full Text: [ Read Online (HTML)   PDF  (770 kB)  ]    Order
  • Performance characterization of negative resists for sub-10-nm electron beam lithography
    R. Bonam, P. Verhagen, A. Munder, and J. Hartley
    pp. C6C34-C6C40

    Abstract   Full Text: [ Read Online (HTML)   PDF  (1033 kB)  ]    Order
  • Simulation of scanning electron microscope images taking into account local and global electromagnetic fields
    Sergey Babin, Sergey S. Borisov, Hiroyuki Ito, Andrei Ivanchikov, and Makoto Suzuki
    pp. C6C41-C6C47

    Abstract   Full Text: [ Read Online (HTML)   PDF  (1135 kB)  ]    Order
  • Simulation of electron beam lithography of nanostructures
    M. Stepanova, T. Fito, Zs. Szabó, K. Alti, A. P. Adeyenuwo, K. Koshelev, M. Aktary, and S. K. Dew
    pp. C6C48-C6C57

    Abstract   Full Text: [ Read Online (HTML)   PDF  (886 kB)  ]    Order
  • Sub-10-nm half-pitch electron-beam lithography by using poly(methyl methacrylate) as a negative resist
    Huigao Duan, Donald Winston, Joel K. W. Yang, Bryan M. Cord, Vitor R. Manfrinato, and Karl K. Berggren
    pp. C6C58-C6C62

    Abstract   Full Text: [ Read Online (HTML)   PDF  (603 kB)  ]    Order
  • Analysis of surface electromagnetic wave resonant structures for potential application in an array of compact photoelectron sources
    Heon J. Choi and Timothy R. Groves
    pp. C6C63-C6C68

    Abstract   Full Text: [ Read Online (HTML)   PDF  (229 kB)  ]    Order
  • Inspection of open defects in a thin film transistor-liquid crystal display panel by using a low-energy electron microcolumn
    Tae Sik Oh, Dae-Wook Kim, Young Chul Kim, Seungjoon Ahn, Gun-hee Lee, and Ho Seob Kim
    pp. C6C69-C6C73

    Abstract   Full Text: [ Read Online (HTML)   PDF  (548 kB)  ]    Order
  • Brightness limitations of cold field emitters caused by Coulomb interactions
    B. Cook, T. Verduin, C. W. Hagen, and P. Kruit
    pp. C6C74-C6C79

    Abstract   Full Text: [ Read Online (HTML)   PDF  (703 kB)  ]    Order

  • Emerging Technologies
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Direct transformation of a resist pattern into a graphene field effect transistor through interfacial graphitization of liquid gallium
    Jun-ichi Fujita, Yosuke Miyazawa, Ryuichi Ueki, Mio Sasaki, and Takeshi Saito
    pp. C6D1-C6D4

    Abstract   Full Text: [ Read Online (HTML)   PDF  (373 kB)  ]    Order
  • Fabrication of metal patterns on freestanding graphenoid nanomembranes
    André Beyer, Andrey Turchanin, Christoph T. Nottbohm, Nils Mellech, Mark Schnietz, and Armin Gölzhäuser
    pp. C6D5-C6D10

    Abstract   Full Text: [ Read Online (HTML)   PDF  (508 kB)  ]    Order
  • Study of transport properties in graphene monolayer flakes on SiO2 substrates
    J. M. Tirado, D. Nezich, X. Zhao, J. W. Chung, J. Kong, and T. Palacios
    pp. C6D11-C6D14

    Abstract   Full Text: [ Read Online (HTML)   PDF  (398 kB)  ]    Order

  • Extreme Ultraviolet Lithography
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Wavelength-specific reflections: A decade of extreme ultraviolet actinic mask inspection research
    K. A. Goldberg and I. Mochi
    pp. C6E1-C6E10

    Abstract   Full Text: [ Read Online (HTML)   PDF  (403 kB)  ]    Order
  • Actinic imaging and evaluation of phase structures on extreme ultraviolet lithography masks
    Iacopo Mochi, Kenneth A. Goldberg, and Sungmin Huh
    pp. C6E11-C6E16

    Abstract   Full Text: [ Read Online (HTML)   PDF  (401 kB)  ]    Order
  • Assessing the mask clamping ability of a low thermal expansion material chuck
    J. R. Zeuske, P. Vukkadala, R. L. Engelstad, A. R. Mikkelson, G. Kalkowski, S. Risse, and S. Mueller
    pp. C6E17-C6E22

    Abstract   Full Text: [ Read Online (HTML)   PDF  (999 kB)  ]    Order
  • Extreme ultraviolet mask substrate surface roughness effects on lithographic patterning
    Simi A. George, Patrick P. Naulleau, Iacopo Mochi, Farhad Salmassi, Eric M. Gullikson, Kenneth A. Goldberg, and Erik H. Anderson
    pp. C6E23-C6E30

    Abstract   Full Text: [ Read Online (HTML)   PDF  (328 kB)  ]    Order
  • Extreme ultraviolet mask surface cleaning effects on lithography process performance
    Simi A. George, Lorie Mae Baclea-an, Patrick P. Naulleau, Robert J. Chen, and Ted Liang
    pp. C6E31-C6E35

    Abstract   Full Text: [ Read Online (HTML)   PDF  (376 kB)  ]    Order
  • High transmission pellicles for extreme ultraviolet lithography reticle protection
    Yashesh A. Shroff, Michael Leeson, Pei-Yang Yan, Eric Gullikson, and Farhad Salmassi
    pp. C6E36-C6E41

    Abstract   Full Text: [ Read Online (HTML)   PDF  (952 kB)  ]    Order

  • Focused Ion Beams
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Focused chromium ion beam
    A. V. Steele, B. Knuffman, J. J. McClelland, and J. Orloff
    pp. C6F1-C6F5

    Abstract   Full Text: [ Read Online (HTML)   PDF  (422 kB)  ]    Order
  • Analysis of subsurface beam spread and its impact on the image resolution of the helium ion microscope
    Sybren Sijbrandij, John Notte, Colin Sanford, and Ray Hill
    pp. C6F6-C6F9

    Abstract   Full Text: [ Read Online (HTML)   PDF  (146 kB)  ]    Order
  • Design of a parallel mass spectrometer for focused ion beam columns
    A. Khursheed, K. H. Cheong, and H. Q. Hoang
    pp. C6F10-C6F14

    Abstract   Full Text: [ Read Online (HTML)   PDF  (460 kB)  ]    Order
  • Gas field ion source and liquid metal ion source charged particle material interaction study for semiconductor nanomachining applications
    Shida Tan, Richard Livengood, Darryl Shima, John Notte, and Shawn McVey
    pp. C6F15-C6F21

    Abstract   Full Text: [ Read Online (HTML)   PDF  (846 kB)  ]    Order
  • Model for nanopillar growth by focused helium ion-beam-induced deposition
    Paul F. A. Alkemade, Ping Chen, Emile van Veldhoven, and Diederik Maas
    pp. C6F22-C6F25

    Abstract   Full Text: [ Read Online (HTML)   PDF  (237 kB)  ]    Order
  • Ga+ beam lithography for suspended lateral beams and nanowires
    M. David Henry, Michael Shearn, and Axel Scherer
    pp. C6F26-C6F30

    Abstract   Full Text: [ Read Online (HTML)   PDF  (475 kB)  ]    Order
  • Transmission electron microscopy study of damage layer formed through ion beam induced deposition of platinum on silicon substrate
    Byong Chon Park, Yun Chang Park, Hwack Joo Lee, and Young Heon Kim
    pp. C6F31-C6F37

    Abstract   Full Text: [ Read Online (HTML)   PDF  (814 kB)  ]    Order
  • Piezoresistive effect in the three-dimensional diamondlike carbon nanostructure fabricated by focused-ion-beam chemical vapor deposition
    Reo Kometani, Kouki Yusa, Shin'ichi Warisawa, and Sunao Ishihara
    pp. C6F38-C6F41

    Abstract   Full Text: [ Read Online (HTML)   PDF  (301 kB)  ]    Order

  • Masks and Maskless Lithography
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Blanking characteristics of a miniature electron beam column
    C. S. Silver, J. P. Spallas, and L. P. Muray
    pp. C6G1-C6G4

    Abstract   Full Text: [ Read Online (HTML)   PDF  (258 kB)  ]    Order
  • Multibeam scanning electron microscope: Experimental results
    A. Mohammadi-Gheidari, C. W. Hagen, and P. Kruit
    pp. C6G5-C6G10

    Abstract   Full Text: [ Read Online (HTML)   PDF  (479 kB)  ]    Order

  • Metrology and Imaging
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Application of analytic scanning electron microscopy to critical dimensions metrology at nanometer scale
    Sergey Babin, Konstantin Bay, and Justin J. Hwu
    pp. C6H1-C6H5

    Abstract   Full Text: [ Read Online (HTML)   PDF  (566 kB)  ]    Order
  • Linewidth metrology for sub-10-nm lithography
    S. Thoms and D. S. Macintyre
    pp. C6H6-C6H10

    Abstract   Full Text: [ Read Online (HTML)   PDF  (282 kB)  ]    Order
  • Metrology for electron-beam lithography and resist contrast at the sub-10 nm scale
    Huigao Duan, Vitor R. Manfrinato, Joel K. W. Yang, Donald Winston, Bryan M. Cord, and Karl K. Berggren
    pp. C6H11-C6H17

    Abstract   Full Text: [ Read Online (HTML)   PDF  (773 kB)  ]    Order
  • Robust estimation of line width roughness parameters
    Kedar Patel, Soumendra N. Lahiri, and Costas J. Spanos
    pp. C6H18-C6H33

    Abstract   Full Text: [ Read Online (HTML)   PDF  (1003 kB)  ]    Order
  • Understanding the relationship between true and measured resist feature critical dimension and line edge roughness using a detailed scanning electron microscopy simulator
    Richard A. Lawson and Clifford L. Henderson
    pp. C6H34-C6H39

    Abstract   Full Text: [ Read Online (HTML)   PDF  (414 kB)  ]    Order

  • Microfluidics
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Three-dimensional microfluidic mixers using ion beam lithography and micromachining
    E. Palacios, L. E. Ocola, A. Joshi-Imre, S. Bauerdick, M. Berse, and L. Peto
    pp. C6I1-C6I6

    Abstract   Full Text: [ Read Online (HTML)   PDF  (794 kB)  ]    Order
  • Fabricating millimeter to nanometer sized cavities concurrently for nanofluidic devices
    Nicole R. Devlin and Devin K. Brown
    pp. C6I7-C6I10

    Abstract   Full Text: [ Read Online (HTML)   PDF  (341 kB)  ]    Order
  • Nanofluidic channels fabricated by e-beam lithography and polymer reflow sealing
    Mina Fouad, Mustafa Yavuz, and Bo Cui
    pp. C6I11-C6I13

    Abstract   Full Text: [ Read Online (HTML)   PDF  (249 kB)  ]    Order
  • Rotation speed control of Janus particles by dielectrophoresis in a microfluidic channel
    T. Honegger, O. Lecarme, K. Berton, and D. Peyrade
    pp. C6I14-C6I19

    Abstract   Full Text: [ Read Online (HTML)   PDF  (776 kB)  ]    Order

  • Modeling
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Mask-topography-induced phase effects and wave aberrations in optical and extreme ultraviolet lithography
    A. Erdmann, F. Shao, P. Evanschitzky, and T. Fühner
    pp. C6J1-C6J7

    Abstract   Full Text: [ Read Online (HTML)   PDF  (948 kB)  ]    Order
  • High accuracy electron beam model development in MICHELLE: eBEAM
    Serguei G. Ovtchinnikov, Simon J. Cooke, Roman Shtokhamer, Alexander N. Vlasov, Masis M. Mkrtchyan, Christopher Kostas, John J. Petillo, and Baruch Levush
    pp. C6J8-C6J12

    Abstract   Full Text: [ Read Online (HTML)   PDF  (121 kB)  ]    Order
  • Monte Carlo modeling of electron backscattering from carbon nanotube forests
    M. K. Alam, P. Yaghoobi, and A. Nojeh
    pp. C6J13-C6J18

    Abstract   Full Text: [ Read Online (HTML)   PDF  (477 kB)  ]    Order
  • Reducing the pattern redundancy in optical proximity correction modeling by analyzing the pattern linearity
    Jianliang Li, Lin Zhang, Qiliang Yan, Lawrence S. Melvin, III, Chadwick Lin, Eason Su, and Nail Tang
    pp. C6J19-C6J24

    Abstract   Full Text: [ Read Online (HTML)   PDF  (968 kB)  ]    Order

  • Nanobiology
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Fabrication of three-dimensional structures for the assessment of cell mechanical interactions within cell monolayers
    David Fuard, Michel Moussus, Caterina Tomba, David Peyrade, and Alice Nicolas
    pp. C6K1-C6K7

    Abstract   Full Text: [ Read Online (HTML)   PDF  (399 kB)  ]    Order
  • Texturing of silicon using a microporous polymer etch mask
    N. S. Korivi, J. Hoffpauir, and P. K. Ajmera
    pp. C6K8-C6K12

    Abstract   Full Text: [ Read Online (HTML)   PDF  (387 kB)  ]    Order
  • Gelatin/glycerol coating to preserve mechanically compliant nanowire electrodes from damage during brain implantation
    Jolanda A. Witteveen, Dmitry B. Suyatin, Lina Gällentoft, Jens Schouenborg, Nils Danielsen, and Christelle N. Prinz
    pp. C6K13-C6K16

    Abstract   Full Text: [ Read Online (HTML)   PDF  (425 kB)  ]    Order
  • Microfluidics-assisted photo nanoimprint lithography for the formation of cellular bioimprints
    V. Nock, L. Murray, F. Samsuri, M. M. Alkaisi, and J. J. Evans
    pp. C6K17-C6K22

    Abstract   Full Text: [ Read Online (HTML)   PDF  (572 kB)  ]    Order

  • Nanoelectronics
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Low damage fully self-aligned replacement gate process for fabricating deep sub-100 nm gate length GaAs metal-oxide-semiconductor field-effect transistors
    X. Li, S. Bentley, H. McLelland, M. C. Holland, H. Zhou, S. Thoms, D. S. Macintyre, and I. G. Thayne
    pp. C6L1-C6L5

    Abstract   Full Text: [ Read Online (HTML)   PDF  (627 kB)  ]    Order
  • Platinum single-electron transistors with tunnel barriers made by atomic layer deposition
    Hubert C. George, Alexei O. Orlov, and Gregory L. Snider
    pp. C6L6-C6L8

    Abstract   Full Text: [ Read Online (HTML)   PDF  (252 kB)  ]    Order
  • Si single electron transistor fabricated by chemical mechanical polishing
    Yen-Chun Lee, Vishwanath Joshi, Alexei O. Orlov, and Gregory L. Snider
    pp. C6L9-C6L13

    Abstract   Full Text: [ Read Online (HTML)   PDF  (543 kB)  ]    Order

  • Nanoimprint
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Aspects of hybrid pattern definition while combining thermal nanoimprint with optical lithography
    H.-C. Scheer, S. Möllenbeck, A. Mayer, and K. Dhima
    pp. C6M1-C6M6

    Abstract   Full Text: [ Read Online (HTML)   PDF  (699 kB)  ]    Order
  • Defect analysis for patterned media
    Zhengmao Ye, John Fretwell, Kang Luo, Steven Ha, Gerard Schmid, Dwayne LaBrake, Douglas J. Resnick, and S. V. Sreenivasan
    pp. C6M7-C6M11

    Abstract   Full Text: [ Read Online (HTML)   PDF  (398 kB)  ]    Order
  • 45 nm hp line/space patterning into a thin spin coat film by UV nanoimprint based on condensation
    Hiroshi Hiroshima, Qing Wang, and Sung-Won Youn
    pp. C6M12-C6M16

    Abstract   Full Text: [ Read Online (HTML)   PDF  (454 kB)  ]    Order
  • Evaluation of oxygen inhibition for UV-curable resins by adhesion force measurement using scanning probe microscope
    Makoto Okada, Masayuki Iwasa, Hiroto Miyake, Takeshi Ohsaki, Yuichi Haruyama, Kazuhiro Kanda, and Shinji Matsui
    pp. C6M17-C6M22

    Abstract   Full Text: [ Read Online (HTML)   PDF  (422 kB)  ]    Order
  • Assessment of release properties in UV nanoimprint lithography using high-aspect-ratio nanoscale molds
    Junki Takahashi, Jun Taniguchi, and Yasuhiro Kamiya
    pp. C6M23-C6M27

    Abstract   Full Text: [ Read Online (HTML)   PDF  (712 kB)  ]    Order
  • Characterizations of nanoembossed Pb(Zr0.3,Ti0.7)O3 ferroelectric films
    Zhenkui Shen, Zhihui Chen, Qian Lu, Anquan Jiang, Zhijun Qiu, Xinping Qu, Yifang Chen, and Ran Liu
    pp. C6M28-C6M31

    Abstract   Full Text: [ Read Online (HTML)   PDF  (490 kB)  ]    Order
  • Electrical properties of transferred metal nanopattern using metal oxide release layer
    Noriyuki Unno, Jun Taniguchi, and Shouichi Ide
    pp. C6M32-C6M36

    Abstract   Full Text: [ Read Online (HTML)   PDF  (499 kB)  ]    Order
  • Fabrication of mesas with micro- and nanopatterned surface relief used as working stamps for step and stamp imprint lithography
    Arne Schleunitz, Christian Spreu, Tomi Haatainen, Anna Klukowska, and Helmut Schift
    pp. C6M37-C6M40

    Abstract   Full Text: [ Read Online (HTML)   PDF  (360 kB)  ]    Order
  • Fabrication of ordered nanospheres using a combination of nanoimprint lithography and controlled dewetting
    Arne Schleunitz, Christian Spreu, JaeJong Lee, and Helmut Schift
    pp. C6M41-C6M44

    Abstract   Full Text: [ Read Online (HTML)   PDF  (431 kB)  ]    Order
  • Fabrication of seamless three-dimensional roll mold using direct electron-beam writing on rotating cylindrical substrate
    Jun Taniguchi, Shintaro Tsuji, and Masao Aratani
    pp. C6M45-C6M49

    Abstract   Full Text: [ Read Online (HTML)   PDF  (822 kB)  ]    Order
  • Facile wide-scale defect detection of UV-nanoimprinted resist patterns by fluorescent microscopy
    Kei Kobayashi, Shoichi Kubo, Shinji Matsui, and Masaru Nakagawa
    pp. C6M50-C6M56

    Abstract   Full Text: [ Read Online (HTML)   PDF  (4724 kB)  ]    Order
  • High accuracy UV-nanoimprint lithography step-and-repeat master stamp fabrication for wafer level camera application
    G. Kreindl, T. Glinsner, R. Miller, D. Treiblmayr, and R. Födisch
    pp. C6M57-C6M62

    Abstract   Full Text: [ Read Online (HTML)   PDF  (506 kB)  ]    Order
  • Hole mobility enhancement by chain alignment in nanoimprinted poly(3-hexylthiophene) nanogratings for organic electronics
    Min Zhou, Mukti Aryal, Kamil Mielczarek, Anvar Zakhidov, and Walter Hu
    pp. C6M63-C6M67

    Abstract   Full Text: [ Read Online (HTML)   PDF  (413 kB)  ]    Order
  • Impact of molecular size on resist filling process in nanoimprint lithography: Molecular dynamics study
    Akihiro Taga, Masaaki Yasuda, Hiroaki Kawata, and Yoshihiko Hirai
    pp. C6M68-C6M71

    Abstract   Full Text: [ Read Online (HTML)   PDF  (547 kB)  ]    Order
  • Impact of the resist properties on the antisticking layer degradation in UV nanoimprint lithography
    A. Francone, C. Iojoiu, C. Poulain, C. Lombard, B. Pépin-Donat, J. Boussey, and M. Zelsmann
    pp. C6M72-C6M76

    Abstract   Full Text: [ Read Online (HTML)   PDF  (366 kB)  ]    Order
  • Impact of substrate deformation on demolding force for thermal imprint process
    H. Kawata, Y. Watanabe, N. Fujikawa, M. Yasuda, and Y. Hirai
    pp. C6M77-C6M82

    Abstract   Full Text: [ Read Online (HTML)   PDF  (440 kB)  ]    Order
  • Imprinted quarter wave plate at terahertz frequency
    Shimul C. Saha, Yong Ma, James P. Grant, A. Khalid, and David R. S. Cumming
    pp. C6M83-C6M87

    Abstract   Full Text: [ Read Online (HTML)   PDF  (516 kB)  ]    Order
  • Long-range ordered aluminum oxide nanotubes by nanoimprint-assisted aluminum film surface engineering
    Kunbae Noh, Chulmin Choi, Jin-Yeol Kim, Young Oh, Karla S. Brammer, Mariana C. Loya, and Sungho Jin
    pp. C6M88-C6M92

    Abstract   Full Text: [ Read Online (HTML)   PDF  (549 kB)  ]    Order
  • Nanofabrication of surface-enhanced Raman scattering device by an integrated block-copolymer and nanoimprint lithography method
    E. L. Yang, C. C. Liu, C. Y. P. Yang, C. A. Steinhaus, P. F. Nealey, and J. L. Skinner
    pp. C6M93-C6M97

    Abstract   Full Text: [ Read Online (HTML)   PDF  (579 kB)  ]    Order
  • Nanoimprinting for diffractive light trapping in solar cells
    Dirk N. Weiss, Hao-Chih Yuan, Benjamin G. Lee, Howard M. Branz, Stephen T. Meyers, Andrew Grenville, and Douglas A. Keszler
    pp. C6M98-C6M103

    Abstract   Full Text: [ Read Online (HTML)   PDF  (368 kB)  ]    Order
  • Nanoimprinted P3HT/C60 solar cells optimized by oblique deposition of C60
    Yi Yang, Mukti Aryal, Kamil Mielczarek, Walter Hu, and Anvar Zakhidov
    pp. C6M104-C6M107

    Abstract   Full Text: [ Read Online (HTML)   PDF  (234 kB)  ]    Order
  • Process-simulation system for UV-nanoimprint lithography
    Mayuko Shibata, Akira Horiba, Yoshinori Nagaoka, Hiroaki Kawata, Masaaki Yasuda, and Yoshihiko Hirai
    pp. C6M108-C6M113

    Abstract   Full Text: [ Read Online (HTML)   PDF  (607 kB)  ]    Order
  • Rapid patterning of spin-on-glass using ultrasonic nanoimprint
    Harutaka Mekaru and Masaharu Takahashi
    pp. C6M114-C6M121

    Abstract   Full Text: [ Read Online (HTML)   PDF  (653 kB)  ]    Order
  • Rapid thermal imprinting of high-aspect-ratio nanostructures with dynamic heating of mold surface
    Keisuke Nagato, Shuntaro Hattori, Tetsuya Hamaguchi, and Masayuki Nakao
    pp. C6M122-C6M124

    Abstract   Full Text: [ Read Online (HTML)   PDF  (438 kB)  ]    Order
  • Residual layer uniformity using complementary patterns to compensate for pattern density variation in UV nanoimprint lithography
    Qing Wang, Hiroshi Hiroshima, Hidemasa Atobe, and Sung-Won Youn
    pp. C6M125-C6M129

    Abstract   Full Text: [ Read Online (HTML)   PDF  (660 kB)  ]    Order
  • Spectroscopic ellipsometry optical critical dimension measurements of templates and imprinted resist for patterned magnetic media applications
    Zhaoning Yu, Justin Hwu, Yongdong Liu, Zhenpeng Su, Henry Yang, Hongying Wang, Wei Hu, Yuan Xu, Nobuo Kurataka, Yautzong Hsu, Shifu Lee, Gene Gauzner, Kim Lee, and David Kuo
    pp. C6M130-C6M135

    Abstract   Full Text: [ Read Online (HTML)   PDF  (554 kB)  ]    Order
  • Sputtering with an etch-free lift-off in thermal nanoimprint lithography
    Andre Mayer, Nicolas Bogdanski, Saskia Möllenbeck, Khalid Dhima, Marc Papenheim, and Hella-Christin Scheer
    pp. C6M136-C6M139

    Abstract   Full Text: [ Read Online (HTML)   PDF  (396 kB)  ]    Order

  • Nanomechanics
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Microelectromechanical systems for biomimetical applications
    Rhonira Latif, Enrico Mastropaolo, Andy Bunting, Rebecca Cheung, Thomas Koickal, Alister Hamilton, Michael Newton, and Leslie Smith
    pp. C6N1-C6N6

    Abstract   Full Text: [ Read Online (HTML)   PDF  (779 kB)  ]    Order
  • Microfabricated resistive high-sensitivity nanoprobe for scanning thermal microscopy
    G. Wielgoszewski, P. Sulecki, T. Gotszalk, P. Janus, D. Szmigiel, P. Grabiec, and E. Zschech
    pp. C6N7-C6N11

    Abstract   Full Text: [ Read Online (HTML)   PDF  (523 kB)  ]    Order
  • Micromachined scanning proximal probes with integrated piezoresistive readout and bimetal actuator for high eigenmode operation
    Miroslaw Woszczyna, Pawel Zawierucha, Piotr Paletko, Michal Zielony, Teodor Gotszalk, Yanko Sarov, Tzvetan Ivanov, Andreas Frank, Jens-Peter Zöllner, and Ivo W. Rangelow
    pp. C6N12-C6N17

    Abstract   Full Text: [ Read Online (HTML)   PDF  (624 kB)  ]    Order
  • Piezoelectrically driven silicon carbide resonators
    Enrico Mastropaolo, Isaac Gual, Graham Wood, Andrew Bunting, and Rebecca Cheung
    pp. C6N18-C6N23

    Abstract   Full Text: [ Read Online (HTML)   PDF  (622 kB)  ]    Order

  • Nanophotonics
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • On the fabrication of three-dimensional silicon-on-insulator based optical phased array for agile and large angle laser beam steering systems
    Amir Hosseini, David Kwong, Yang Zhang, Saurabh A. Chandorkar, Filip Crnogorac, Andrew Carlson, Babak Fallah, Seth Bank, Emanuel Tutuc, John Rogers, R. Fabian W. Pease, and Ray T. Chen
    pp. C6O1-C6O7

    Abstract   Full Text: [ Read Online (HTML)   PDF  (627 kB)  ]    Order
  • Tunable optofluidic nano-Bragg microcavity filter
    A. S. Jugessur, J. Dou, and J. S. Aitchison
    pp. C6O8-C6O10

    Abstract   Full Text: [ Read Online (HTML)   PDF  (389 kB)  ]    Order
  • Colloidal optical waveguides with integrated local light sources built by capillary force assembly
    O. Lecarme, T. Pinedo Rivera, L. Arbez, T. Honegger, K. Berton, and D. Peyrade
    pp. C6O11-C6O15

    Abstract   Full Text: [ Read Online (HTML)   PDF  (600 kB)  ]    Order
  • Resonant coupling to a dipole absorber inside a metamaterial: Anticrossing of the negative index response
    Svyatoslav Smolev, Zahyun Ku, S. R. J Brueck, Igal Brener, Michael B. Sinclair, Gregory A. Ten Eyck, W. L. Langston, and Lorena I. Basilio
    pp. C6O16-C6O20

    Abstract   Full Text: [ Read Online (HTML)   PDF  (666 kB)  ]    Order
  • Fabrication and characterization of coupled metal-dielectric-metal nanoantennas
    Bhuwan Joshi, Xuejin Wen, Kai Sun, Wu Lu, and Qi-Huo Wei
    pp. C6O21-C6O25

    Abstract   Full Text: [ Read Online (HTML)   PDF  (381 kB)  ]    Order
  • Fabrication of nanostar arrays by nanoimprint lithography
    Teodor Veres, Bo Cui, and Liviu Clime
    pp. C6O26-C6O29

    Abstract   Full Text: [ Read Online (HTML)   PDF  (445 kB)  ]    Order
  • Fabrication techniques for three-dimensional metamaterials in the midinfrared
    J. R. Wendt, D. B. Burckel, G. A. Ten Eyck, A. R. Ellis, I. Brener, and M. B. Sinclair
    pp. C6O30-C6O33

    Abstract   Full Text: [ Read Online (HTML)   PDF  (424 kB)  ]    Order
  • Self-aligned gold nanocone probe tips
    B. Zeeb, S. Jäger, C. Schäfer, P. Nill, A. J. Meixner, D. P. Kern, and M. Fleischer
    pp. C6O34-C6O37

    Abstract   Full Text: [ Read Online (HTML)   PDF  (289 kB)  ]    Order
  • Large area three-dimensional photonic crystals with embedded waveguides
    Alex K. Raub and S. R. J. Brueck
    pp. C6O38-C6O44

    Abstract   Full Text: [ Read Online (HTML)   PDF  (1137 kB)  ]    Order
  • Sub-10 nm patterning of gold nanostructures on silicon-nitride membranes for plasmon mapping with electron energy-loss spectroscopy
    Ai Leen Koh, David W. McComb, Stefan A. Maier, H. Y. Low, and Joel K. W. Yang
    pp. C6O45-C6O49

    Abstract   Full Text: [ Read Online (HTML)   PDF  (672 kB)  ]    Order
  • Geometry enhanced asymmetric rectifying tunneling diodes
    Kwangsik Choi, Geunmin Ryu, Filiz Yesilkoy, Athanasios Chryssis, Neil Goldsman, Mario Dagenais, and Martin Peckerar
    pp. C6O50-C6O55

    Abstract   Full Text: [ Read Online (HTML)   PDF  (515 kB)  ]    Order
  • Waveguide-plasmon resonances in gold-capped silicon-nitride disk photonic crystal slabs
    Jia-Hong Shyu, Huang-Ming Lee, Jui-Hsing Chien, and Jong-Ching Wu
    pp. C6O56-C6O59

    Abstract   Full Text: [ Read Online (HTML)   PDF  (201 kB)  ]    Order
  • Multilayer pattern transfer for plasmonic color filter applications
    Alex F. Kaplan, Ting Xu, Yi-Kuei Wu, and L. Jay Guo
    pp. C6O60-C6O63

    Abstract   Full Text: [ Read Online (HTML)   PDF  (355 kB)  ]    Order

  • Nanostructures
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Batch wafer scale fabrication of passivated carbon nanotube transistors for electrochemical sensing applications
    I. Martin-Fernandez, X. Borrisé, E. Lora-Tamayo, P. Godignon, and F. Perez-Murano
    pp. C6P1-C6P5

    Abstract   Full Text: [ Read Online (HTML)   PDF  (531 kB)  ]    Order
  • Copper-plated 50 nm T-gate fabrication
    Richard K. Oxland, Xu Li, Susan Ferguson, Steven Bentley, and Iain G. Thayne
    pp. C6P6-C6P10

    Abstract   Full Text: [ Read Online (HTML)   PDF  (255 kB)  ]    Order
  • Direct synthesis of vertical alpha-Fe2O3 nanowires from sputtered Fe thin film
    Keisuke Nagato, Masaki Furubayashi, Tetsuya Hamaguchi, and Masayuki Nakao
    pp. C6P11-C6P13

    Abstract   Full Text: [ Read Online (HTML)   PDF  (399 kB)  ]    Order
  • Pyrolysis of two-dimensional and three-dimensional interferometrically patterned resist structures
    D. B. Burckel, C. M. Washburn, D. D. Koleske, and R. Polsky
    pp. C6P14-C6P17

    Abstract   Full Text: [ Read Online (HTML)   PDF  (504 kB)  ]    Order
  • Fabrication and initial characterization of ultrahigh aspect ratio vias in gold using the helium ion microscope
    Larry Scipioni, David C. Ferranti, Vincent S. Smentkowski, and Radislav A. Potyrailo
    pp. C6P18-C6P23

    Abstract   Full Text: [ Read Online (HTML)   PDF  (799 kB)  ]    Order
  • Fabrication of poly(ethylene glycol) hydrogel structures for pharmaceutical applications using electron beam and optical lithography
    Misuk Bae, Richard A. Gemeinhart, Ralu Divan, Kamlesh J. Suthar, and Derrick C. Mancini
    pp. C6P24-C6P29

    Abstract   Full Text: [ Read Online (HTML)   PDF  (527 kB)  ]    Order
  • Nanofabrication of x-ray zone plates using ultrananocrystalline diamond molds and electroforming
    Michael J. Wojcik, Vishwanath Joshi, Anirudha V. Sumant, Ralu Divan, Leonidas E. Ocola, Ming Lu, and Derrick C. Mancini
    pp. C6P30-C6P35

    Abstract   Full Text: [ Read Online (HTML)   PDF  (760 kB)  ]    Order
  • Nanomachining and clamping point optimization of silicon carbon nitride resonators using low voltage electron beam lithography and cold development
    M. A. Mohammad, C. Guthy, S. Evoy, S. K. Dew, and M. Stepanova
    pp. C6P36-C6P41

    Abstract   Full Text: [ Read Online (HTML)   PDF  (520 kB)  ]    Order
  • Nanoporous ultrananocrystalline diamond membranes
    Olga Makarova, Ralu Divan, Nicolaie Moldovan, Daniel Rosenmann, and Cha-Mei Tang
    pp. C6P42-C6P47

    Abstract   Full Text: [ Read Online (HTML)   PDF  (1232 kB)  ]    Order
  • Nanostructured silicon membranes for control of molecular transport
    Bernadeta R. Srijanto, Scott T. Retterer, Jason D. Fowlkes, and Mitchel J. Doktycz
    pp. C6P48-C6P52

    Abstract   Full Text: [ Read Online (HTML)   PDF  (432 kB)  ]    Order
  • Semiconductor crystal islands for three-dimensional integration
    F. Crnogorac, S. Wong, and R. F. W. Pease
    pp. C6P53-C6P58

    Abstract   Full Text: [ Read Online (HTML)   PDF  (632 kB)  ]    Order
  • Structure and properties of polymer core-shell systems: Helium ion microscopy and electrical conductivity studies
    Valery Bliznyuk, Alexander Pud, Larry Scipioni, Chuong Huynh, Nikolay Ogurtsov, and David Ferranti
    pp. C6P59-C6P65

    Abstract   Full Text: [ Read Online (HTML)   PDF  (841 kB)  ]    Order
  • Noise analysis of carbon nanotube field effect transistors irradiated by electron beam
    Jack Chan, Deborah Kidd, Brian Burke, Lloyd Harriott, and Keith Williams
    pp. C6P66-C6P69

    Abstract   Full Text: [ Read Online (HTML)   PDF  (354 kB)  ]    Order
  • Plasma etch fabrication of 60:1 aspect ratio silicon nanogratings with 200 nm pitch
    Pran Mukherjee, Alexander Bruccoleri, Ralf K. Heilmann, Mark L. Schattenburg, Alex F. Kaplan, and L. Jay Guo
    pp. C6P70-C6P75

    Abstract   Full Text: [ Read Online (HTML)   PDF  (725 kB)  ]    Order

  • Novel Imaging-Optical Lithography
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Optical and computed evaluation of keyhole diffractive imaging for lensless x-ray microscopy
    Bing Dai, Diling Zhu, Ronnachai Jaroensri, Kanokwan Kulalert, Piero Pianetta, and R. Fabian W. Pease
    pp. C6Q1-C6Q5

    Abstract   Full Text: [ Read Online (HTML)   PDF  (537 kB)  ]    Order
  • Customized illumination for process window optimization and yield improvement in mask aligner lithography systems
    Michael Hornung, Uwe Vogler, and Reinhard Voelkel
    pp. C6Q6-C6Q11

    Abstract   Full Text: [ Read Online (HTML)   PDF  (746 kB)  ]    Order
  • Projection lithography below lambda/7 through deep-ultraviolet evanescent optical imaging
    P. Xie and B. W. Smith
    pp. C6Q12-C6Q19

    Abstract   Full Text: [ Read Online (HTML)   PDF  (530 kB)  ]    Order
  • Development of a simple, compact, low-cost interference lithography system
    Hasan Korre, Corey P. Fucetola, Jeremy A. Johnson, and Karl K. Berggren
    pp. C6Q20-C6Q24

    Abstract   Full Text: [ Read Online (HTML)   PDF  (344 kB)  ]    Order
  • Nondestructive detection of deviation in integrated circuits
    Leili Baghaei, Bing Dai, Piero Pianetta, and R. Fabian W. Pease
    pp. C6Q25-C6Q27

    Abstract   Full Text: [ Read Online (HTML)   PDF  (127 kB)  ]    Order

  • Resists
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Impact of development chemistry on extreme ultraviolet resist performance
    Roel Gronheid
    pp. C6S1-C6S5

    Abstract   Full Text: [ Read Online (HTML)   PDF  (369 kB)  ]    Order
  • Comparison of positive tone versus negative tone resist pattern collapse behavior
    Wei-Ming Yeh, David E. Noga, Richard A. Lawson, Laren M. Tolbert, and Clifford L. Henderson
    pp. C6S6-C6S11

    Abstract   Full Text: [ Read Online (HTML)   PDF  (299 kB)  ]    Order
  • High sensitivity nonchemically amplified molecular resists based on photosensitive dissolution inhibitors
    Richard A. Lawson, Laren M. Tolbert, and Clifford L. Henderson
    pp. C6S12-C6S18

    Abstract   Full Text: [ Read Online (HTML)   PDF  (297 kB)  ]    Order
  • Photopatternable inorganic hardmask
    Alan Telecky, Peng Xie, Jason Stowers, Andrew Grenville, Bruce Smith, and Douglas A. Keszler
    pp. C6S19-C6S22

    Abstract   Full Text: [ Read Online (HTML)   PDF  (246 kB)  ]    Order
  • Effects of salty-developer temperature on electron-beam-exposed hydrogen silsesquioxane resist for ultradense pattern transfer
    M. Yan, J. Lee, B. Ofuonye, S. Choi, J. H. Jang, and I. Adesida
    pp. C6S23-C6S27

    Abstract   Full Text: [ Read Online (HTML)   PDF  (643 kB)  ]    Order
  • [ Top of Page ]