Sedemos News

viernes, 28 de enero de 2011

Table of Contents Alert for Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures


Journal of Vacuum Science & Technology B (Microelectronics and Nanometer Structures)

January 2011

Volume 29, Issue 1, Articles 010601-01AE04

[ Bottom of Page ]

Letters

  • Design and focused ion beam fabrication of single crystal diamond nanobeam cavities
    Thomas M. Babinec, Jennifer T. Choy, Kirsten J. M. Smith, Mughees Khan, and Marko Lončar
    J. Vac. Sci. Technol. B 29, 010601 (2011) (6 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (444 kB)  ]    Order
  • Effects of molecular functionalization sequence on mesoporous silica film properties
    Binay Singh, Saurabh Garg, Ashutosh Jain, Richard Moore, and Ganpati Ramanath
    J. Vac. Sci. Technol. B 29, 010602 (2011) (4 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (321 kB)  ]    Order
  • Review Article

  • Plasma-polymer interactions: A review of progress in understanding polymer resist mask durability during plasma etching for nanoscale fabrication
    Gottlieb S. Oehrlein, Raymond J. Phaneuf, and David B. Graves
    J. Vac. Sci. Technol. B 29, 010801 (2011) (35 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (2193 kB)  ]    Order
  • Articles

  • Plasma etching of polydimethylsiloxane: Effects from process gas composition and dc self-bias voltage
    Geir Bjørnsen and Jaan Roots
    J. Vac. Sci. Technol. B 29, 011001 (2011) (6 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (449 kB)  ]    Order
  • Formation of silicon grass: Nanomasking by carbon clusters in cyclic deep reactive ion etching
    Steffen Leopold, Christoph Kremin, Angela Ulbrich, Stefan Krischok, and Martin Hoffmann
    J. Vac. Sci. Technol. B 29, 011002 (2011) (7 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (478 kB)  ]    Order
  • Enhanced outcoupling of electroluminescence from ZnS:ErF3 thin films by a photonic crystal
    Evan Law, Mark Davidson, Nigel Shepherd, and Paul H. Holloway
    J. Vac. Sci. Technol. B 29, 011003 (2011) (7 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (668 kB)  ]    Order
  • Deposit profiles characterized by the seed layer in Cu pulse-reverse plating on a patterned substrate
    Sung Ki Cho, Myung Jun Kim, Taeho Lim, Oh Joong Kwon, and Jae Jeong Kim
    J. Vac. Sci. Technol. B 29, 011004 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (320 kB)  ]    Order
  • Nanoimprint replication of nonplanar nanostructure fabricated by focused-ion-beam chemical vapor deposition
    Yuji Kang, Shinya Omoto, Yasuki Nakai, Makoto Okada, Kazuhiro Kanda, Yuichi Haruyama, and Shinji Matsui
    J. Vac. Sci. Technol. B 29, 011005 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (313 kB)  ]    Order
  • Application of kernel convolution for complementing source mask optimization
    Marshal A. Miller, Kenji Yamazoe, and Andrew R. Neureuther
    J. Vac. Sci. Technol. B 29, 011006 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (576 kB)  ]    Order
  • Investigation of the radiation-induced thermal flexure of an x-ray lithography mask during a tilted exposure
    V. Nazmov, E. Reznikova, and J. Mohr
    J. Vac. Sci. Technol. B 29, 011007 (2011) (7 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (433 kB)  ]    Order
  • Fabrication of flexible ultracapacitor/galvanic cell hybrids using advanced nanoparticle coating technology
    Martin Peckerar, Mahsa Dornajafi, Zeynep Dilli, Neil Goldsman, Yves Ngu, Brent Boerger, Neil Van Wyck, James Gravelin, Brian Grenon, Robert B. Proctor, and Daniel A. Lowy
    J. Vac. Sci. Technol. B 29, 011008 (2011) (6 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (676 kB)  ]    Order
  • Dual-sputtered process sensitivity of HfGdO charge-trapping layer in SONOS-type nonvolatile memory
    Jer-Chyi Wang, Pai-Chi Chou, Chao-Sung Lai, and Li-Chi Liu
    J. Vac. Sci. Technol. B 29, 011009 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (283 kB)  ]    Order
  • Accuracy of thickness measurement for Ge epilayers grown on SiGe/Ge/Si(100) heterostructure by x-ray diffraction and reflectivity
    Xue-Chao Liu, M. Myronov, A. Dobbie, Van H. Nguyen, and D. R. Leadley
    J. Vac. Sci. Technol. B 29, 011010 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (460 kB)  ]    Order
  • Improvement of contact resistance between carbon nanotubes and metal electrodes for high performance electronics
    Yoojin Song and Seong Jun Kang
    J. Vac. Sci. Technol. B 29, 011011 (2011) (3 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (277 kB)  ]    Order
  • 13 nm high-efficiency nickel-germanium soft x-ray zone plates
    Julia Reinspach, Magnus Lindblom, Michael Bertilson, Olov von Hofsten, Hans M. Hertz, and Anders Holmberg
    J. Vac. Sci. Technol. B 29, 011012 (2011) (4 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (377 kB)  ]    Order
  • Mechanistic considerations of low temperature hydrogen-based plasma etching of Cu
    Fangyu Wu, Galit Levitin, and Dennis W. Hess
    J. Vac. Sci. Technol. B 29, 011013 (2011) (7 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (329 kB)  ]    Order
  • Damage immune field effect transistors with vacuum gate dielectric
    Jin-Woo Han, Jae-Hyuk Ahn, and Yang-Kyu Choi
    J. Vac. Sci. Technol. B 29, 011014 (2011) (4 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (330 kB)  ]    Order
  • Compact holographic lithography system for photonic-crystal structure
    Mei-Li Hsieh and Shawn-Yu Lin
    J. Vac. Sci. Technol. B 29, 011015 (2011) (6 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (1814 kB)  ]    Order
  • High verticality InP/InGaAsP etching in Cl2/H2/Ar inductively coupled plasma for photonic integrated circuits
    John S. Parker, Erik J. Norberg, Robert S. Guzzon, Steven C. Nicholes, and Larry A. Coldren
    J. Vac. Sci. Technol. B 29, 011016 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (401 kB)  ]    Order
  • Transparent semiconducting Nb-doped anatase TiO2 films deposited by helicon-wave-excited-plasma sputtering
    A. Fouda, K. Hazu, M. Haemori, T. Nakayama, A. Tanaka, and S. F. Chichibu
    J. Vac. Sci. Technol. B 29, 011017 (2011) (6 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (542 kB)  ]    Order
  • Residue growth on metallic hard mask after dielectric etching in fluorocarbon based plasmas. II. Solutions
    N. Posseme, R. Bouyssou, T. Chevolleau, T. David, V. Arnal, M. Darnon, Ph. Brun, C. Verove, and O. Joubert
    J. Vac. Sci. Technol. B 29, 011018 (2011) (10 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (497 kB)  ]    Order
  • Thick benzocyclobutene etching using high density SF6/O2 plasmas
    Qianwen Chen, Dingyou Zhang, Zhimin Tan, Zheyao Wang, Litian Liu, and Jian-Qiang Lu
    J. Vac. Sci. Technol. B 29, 011019 (2011) (6 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (345 kB)  ]    Order
  • Influence of pH and abrasive concentration on polishing rate of amorphous Ge2Sb2Te5 film in chemical mechanical polishing
    Zefang Zhang, Weili Liu, and Zhitang Song
    J. Vac. Sci. Technol. B 29, 011020 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (450 kB)  ]    Order
  • Molecularly selective nanopatterns using nanoimprint lithography: A label-free sensor architecture
    Daniel Forchheimer, Gang Luo, Lei Ye, and Lars Montelius
    J. Vac. Sci. Technol. B 29, 011021 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (502 kB)  ]    Order
  • Compensation methods for buried defects in extreme ultraviolet lithography masks
    Chris H. Clifford, Tina T. Chan, and Andrew R. Neureuther
    J. Vac. Sci. Technol. B 29, 011022 (2011) (6 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (474 kB)  ]    Order
  • Scalable nanoimprint patterning of thin graphitic oxide sheets and in situ reduction
    Yeong-Yuh Lee, Karen S. L. Chong, Seok-Hong Goh, Andrew M. H. Ng, Madanagopal V. Kunnavakkam, Chiou-Liu Hee, Yanping Xu, Hosea Tantang, Ching-Yuan Su, and Lain-Jong Li
    J. Vac. Sci. Technol. B 29, 011023 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (702 kB)  ]    Order
  • Poly-Si/TiN/Mo/HfO2 gate stack etching in high-density plasmas
    O. Luere, E. Pargon, L. Vallier, and O. Joubert
    J. Vac. Sci. Technol. B 29, 011024 (2011) (9 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (422 kB)  ]    Order
  • Interconnected alternating-current light-emitting diode arrays isolated by laser micromachining
    Giuseppe Y. Mak, Edmund Y. Lam, and H. W. Choi
    J. Vac. Sci. Technol. B 29, 011025 (2011) (4 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (579 kB)  ]    Order
  • Characterization of damage induced by FIB etch and tungsten deposition in high aspect ratio vias
    Yariv Drezner, Daniel Fishman, Yuval Greenzweig, and Amir Raveh
    J. Vac. Sci. Technol. B 29, 011026 (2011) (7 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (731 kB)  ]    Order
  • Nanoscale depth-resolved electronic properties of SiO2/SiOx/SiO2 for device-tolerant electronics
    E. J. Katz, Z. Zhang, H. L. Hughes, K. -B. Chung, G. Lucovsky, and L. J. Brillson
    J. Vac. Sci. Technol. B 29, 011027 (2011) (7 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (437 kB)  ]    Order
  • Etch mechanisms of silicon gate structures patterned in SF6/CH2F2/Ar inductively coupled plasmas
    O. Luere, E. Pargon, L. Vallier, B. Pelissier, and O. Joubert
    J. Vac. Sci. Technol. B 29, 011028 (2011) (10 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (499 kB)  ]    Order
  • Control of semiconductor quantum dot nanostructures: Variants of SixGe1−x/Si quantum dot molecules
    Jessica K. Murphy, Robert Hull, Devin Pyle, Hao Wang, Jennifer Gray, and Jerrold Floro
    J. Vac. Sci. Technol. B 29, 011029 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (237 kB)  ]    Order
  • Inhibition of carbon growth and removal of carbon deposits on extreme ultraviolet lithography mirrors by extreme ultraviolet irradiation in the presence of water, oxygen, or oxygen/ozone mixtures
    Masahito Niibe, Keigo Koida, and Yukinobu Kakutani
    J. Vac. Sci. Technol. B 29, 011030 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (569 kB)  ]    Order
  • Quantitative simulation of ion-beam induced deposition of nanostructures
    Christoph Ebm, Gerhard Hobler, Simon Waid, and Heinz D. Wanzenboeck
    J. Vac. Sci. Technol. B 29, 011031 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (580 kB)  ]    Order
  • Performance characteristics of GaN-based light-emitting diodes fabricated with AgNi, AgCu, and AgAl-alloy reflectors
    Hyunsoo Kim and Sung-Nam Lee
    J. Vac. Sci. Technol. B 29, 011032 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (209 kB)  ]    Order
  • Vision-based approach to automated analysis of structure boundaries in scanning electron microscope images
    Nak H. Kim and Soo-Young Lee
    J. Vac. Sci. Technol. B 29, 011033 (2011) (6 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (243 kB)  ]    Order
  • Fabrication of trench nanostructures for extreme ultraviolet lithography masks by atomic force microscope lithography
    Gwangmin Kwon, Kyeongkeun Ko, Haiwon Lee, Woongsun Lim, Geun Young Yeom, Sunwoo Lee, and Jinho Ahn
    J. Vac. Sci. Technol. B 29, 011034 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (409 kB)  ]    Order
  • Path to achieve sub-10-nm half-pitch using electron beam lithography
    A. Tavakkoli K. G., S. N. Piramanayagam, M. Ranjbar, R. Sbiaa, and T. C. Chong
    J. Vac. Sci. Technol. B 29, 011035 (2011) (7 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (1342 kB)  ]    Order
  • PAPERS FROM THE 16th WORKSHOP ON DIELECTRICS IN MICROELECTRONICS


    Theory of Dielectric Materials
    [ Next Subject | Issue Index | Top / Bottom of Page]

  • Multiphonon hole trapping from first principles
    F. Schanovsky, W. Gös, and T. Grasser
    J. Vac. Sci. Technol. B 29, 01A201 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (151 kB)  ]    Order

  • Advanced Technologies for Thin Dielectric Film Growth
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Atomic layer deposition of HfO2 and Al2O3 layers on 300 mm Si wafers for gate stack technology
    R. Lupták, J. M. J. Lopes, St. Lenk, B. Holländer, E. Durğun Özben, A. T. Tiedemann, M. Schnee, J. Schubert, S. Habicht, S. Feste, S. Mantl, U. Breuer, A. Besmehn, P. K. Baumann, and M. Heuken
    J. Vac. Sci. Technol. B 29, 01A301 (2011) (4 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (527 kB)  ]    Order
  • Structural and electrical properties of TixAl1−xOy thin films grown by atomic layer deposition
    A. P. Alekhin, A. A. Chouprik, S. A. Gudkova, A. M. Markeev, Yu. Yu. Lebedinskii, Yu. A. Matveyev, and A. V. Zenkevich
    J. Vac. Sci. Technol. B 29, 01A302 (2011) (6 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (819 kB)  ]    Order
  • Chemical vapor deposition and characterization of high-k BaHf1−xTixO3 dielectric layers for microelectronic applications
    A. Abrutis, T. Katkus, S. Stanionyte, V. Kubilius, G. Lupina, Ch. Wenger, and M. Lukosius
    J. Vac. Sci. Technol. B 29, 01A303 (2011) (4 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (382 kB)  ]    Order
  • Impact of thermal treatment upon morphology and crystallinity of strontium titanate films deposited by atomic layer deposition
    Mihaela Popovici, Sven Van Elshocht, Nicolas Menou, Paola Favia, Hugo Bender, Erik Rosseel, Johan Swerts, Christoph Adelmann, Christa Vrancken, Alain Moussa, Hilde Tielens, Kazuyuki Tomida, Malgorzata Pawlak, Ben Kaczer, Geert Schoofs et al.
    J. Vac. Sci. Technol. B 29, 01A304 (2011) (6 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (680 kB)  ]    Order

  • Characterisation of Dielectrics at Nano-Scale
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Characterization of thickness variations of thin dielectric layers at the nanoscale using scanning capacitance microscopy
    V. Yanev, M. Rommel, A. J. Bauer, and L. Frey
    J. Vac. Sci. Technol. B 29, 01A401 (2011) (6 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (802 kB)  ]    Order

  • Emerging Dielectric Materials
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Temperature hysteresis of the capacitance dependence C(T) for ferroelectric ceramics
    Antonina Dedyk, Yulia Pavlova, Sergey Karmanenko, Alexander Semenov, Dmitry Semikin, Oleg Pakhomov, Alexander Starkov, and Ivan Starkov
    J. Vac. Sci. Technol. B 29, 01A501 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (362 kB)  ]    Order

  • New Device Approaches
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Properties of SiO2 and Si3N4 as gate dielectrics for printed ZnO transistors
    S. Walther, S. Polster, B. Meyer, M. P. M. Jank, H. Ryssel, and L. Frey
    J. Vac. Sci. Technol. B 29, 01A601 (2011) (6 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (494 kB)  ]    Order

  • High-k Dielectrics on Si
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Metallic oxygen barrier diffusion applied to high-kappa deposition
    E. Rauwel, P. Rauwel, F. Ducroquet, I. Matko, and A. C. Lourenço
    J. Vac. Sci. Technol. B 29, 01A701 (2011) (7 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (968 kB)  ]    Order

  • High-k Dielectrics on High Mobility Semiconductors: Ge, III-V, III-N
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Epitaxial growth of Dy2O3 films on SrTiO3(001) substrates by molecular beam epitaxy
    Md. Nurul Kabir Bhuiyan, Mariela Menghini, Jean-Pierre Locquet, Jin Won Seo, Christel Dieker, Wolfgang Jäger, and Chiara Marchiori
    J. Vac. Sci. Technol. B 29, 01A801 (2011) (4 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (485 kB)  ]    Order
  • Interfacial properties of HfO2 dielectric film on Ge substrate
    Dawei He, Xinhong Cheng, Dawei Xu, Zhongjian Wang, Yuehui Yu, Qingqing Sun, and David Wei Zhang
    J. Vac. Sci. Technol. B 29, 01A802 (2011) (3 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (181 kB)  ]    Order
  • Characterization of NbAlO dielectric film deposited on InP
    Dawei He, Xinhong Cheng, Dawei Xu, Zhongjian Wang, Yuehui Yu, Qingqing Sun, and David Wei Zhang
    J. Vac. Sci. Technol. B 29, 01A803 (2011) (3 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (270 kB)  ]    Order
  • Impact of halo implant on the hot carrier reliability of germanium p-channel metal-oxide-semiconductor field-effect transitors
    J. Franco, G. Eneman, B. Kaczer, J. Mitard, B. De Jaeger, and G. Groeseneken
    J. Vac. Sci. Technol. B 29, 01A804 (2011) (4 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (359 kB)  ]    Order
  • High quality epitaxial Dy3Ge5 films grown on Ge(001) substrates
    Md. Nurul Kabir Bhuiyan, Mariela Menghini, Jean-Pierre Locquet, Jin Won Seo, and Chiara Marchiori
    J. Vac. Sci. Technol. B 29, 01A805 (2011) (4 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (539 kB)  ]    Order
  • Atomic layer deposition temperature dependent minority carrier generation in ZrO2/GeO2/Ge capacitors
    O. Bethge, S. Abermann, C. Henkel, J. Smoliner, E. Bertagnolli, C. J. Straif, and H. Hutter
    J. Vac. Sci. Technol. B 29, 01A806 (2011) (7 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (1226 kB)  ]    Order
  • Electrical analysis of three-stage passivated In0.53Ga0.47As capacitors with varying HfO2 thicknesses and incorporating an Al2O3 interface control layer
    S. Monaghan, A. O'Mahony, K. Cherkaoui, É. O'Connor, I. M. Povey, M. G. Nolan, D. O'Connell, M. E. Pemble, P. K. Hurley, G. Provenzano, F. Crupi, and S. B. Newcomb
    J. Vac. Sci. Technol. B 29, 01A807 (2011) (8 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (1540 kB)  ]    Order
  • Electrical properties of InAlN/GaN high electron mobility transistor with Al2O3, ZrO2, and GdScO3 gate dielectrics
    K. Čičo, K. Hušeková, M. Tapajna, D. Gregušová, R. Stoklas, J. Kuzmík, J.-F. Carlin, N. Grandjean, D. Pogany, and K. Fröhlich
    J. Vac. Sci. Technol. B 29, 01A808 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (403 kB)  ]    Order
  • Performance of AlGaN/GaN metal-insulator-semiconductor heterostructure field-effect transistors with AlN gate insulator prepared by reactive magnetron sputtering
    R. Stoklas, D. Gregušová, Š. Gaži, J. Novák, and P. Kordoš
    J. Vac. Sci. Technol. B 29, 01A809 (2011) (4 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (296 kB)  ]    Order

  • High-k/Metal Gate Stack, Characterisation, Scaling, Stability
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Electrical characterization of high-k based metal-insulator-semiconductor structures with negative resistance effect when using Al2O3 and nanolaminated films deposited on p-Si
    A. Gómez, H. Castán, H. García, S. Dueñas, L. Bailón, F. Campabadal, J. M. Rafí, and M. Zabala
    J. Vac. Sci. Technol. B 29, 01A901 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (510 kB)  ]    Order
  • Trapping in GdSiO high-k films
    R. Rao, R. Simoncini, H. D. B. Gottlob, M. Schmidt, and F. Irrera
    J. Vac. Sci. Technol. B 29, 01A902 (2011) (4 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (343 kB)  ]    Order
  • Rare-earth oxide/TiN gate stacks on high mobility strained silicon on insulator for fully depleted metal-oxide-semiconductor field-effect transistors
    E. Durğun Özben, J. M. J. Lopes, A. Nichau, R. Lupták, S. Lenk, A. Besmehn, K. K. Bourdelle, Q. T. Zhao, J. Schubert, and S. Mantl
    J. Vac. Sci. Technol. B 29, 01A903 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (566 kB)  ]    Order
  • Effect of gate capping configurations and silicon-on-insulator thickness with external stresses on partially depleted metal-oxide-semiconductor field-effect transistors
    Wen-Teng Chang, Jian-An Lin, Chih-Chung Wang, and Wen-Kuan Yeh
    J. Vac. Sci. Technol. B 29, 01A904 (2011) (4 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (601 kB)  ]    Order
  • Fluorine implantation for effective work function control in p-type metal-oxide-semiconductor high-k metal gate stacks
    A. Fet, V. Häublein, A. J. Bauer, H. Ryssel, and L. Frey
    J. Vac. Sci. Technol. B 29, 01A905 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (584 kB)  ]    Order

  • Defect Characterisation, Engineering of Dielectrics, Leakage Currents
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • O-vacancies in (i) nanocrystalline HfO2 and (i) noncrystalline SiO2 and Si3N4 studied by x-ray absorption spectroscopy
    Gerald Lucovsky, Leonardo Miotti, and Karen Paz Bastos
    J. Vac. Sci. Technol. B 29, 01AA01 (2011) (9 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (990 kB)  ]    Order
  • Spectroscopic detection of hopping induced mixed valence for Ti and Sc in GdSc1−xTixO3 for x greater than the percolation threshold of ~0.16
    Gerry Lucovsky, Leonardo Miotti, Karen Paz Bastos, Carolina Adamo, and Darrell G. Schlom
    J. Vac. Sci. Technol. B 29, 01AA02 (2011) (6 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (922 kB)  ]    Order
  • Traps and trapping phenomena and their implications on electrical behavior of high-k capacitor stacks
    A. Paskaleva, M. Lemberger, E. Atanassova, and A. J. Bauer
    J. Vac. Sci. Technol. B 29, 01AA03 (2011) (10 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (904 kB)  ]    Order
  • Temperature dependence of the emission and capture times of SiON individual traps after positive bias temperature stress
    M. Toledano-Luque, B. Kaczer, Ph. Roussel, M. J. Cho, T. Grasser, and G. Groeseneken
    J. Vac. Sci. Technol. B 29, 01AA04 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (506 kB)  ]    Order
  • Analysis of the effect of germanium preamorphization on interface defects and leakage current for high-k metal-oxide-semiconductor field-effect transistor
    G. Roll, S. Jakschik, M. Goldbach, A. Wachowiak, T. Mikolajick, and L. Frey
    J. Vac. Sci. Technol. B 29, 01AA05 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (429 kB)  ]    Order
  • Study of the physical and electrical degradation of thin oxide films by atomic force microscope
    Wael Hourani, Brice Gautier, Liviu Militaru, David Albertini, and Armel Descamps-Mandine
    J. Vac. Sci. Technol. B 29, 01AA06 (2011) (8 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (798 kB)  ]    Order
  • Electrical characteristics of metal-insulator-semiconductor structures with atomic layer deposited Al2O3, HfO2, and nanolaminates on different silicon substrates
    F. Campabadal, J. M. Rafí, M. Zabala, O. Beldarrain, A. Faigón, H. Castán, A. Gómez, H. García, and S. Dueñas
    J. Vac. Sci. Technol. B 29, 01AA07 (2011) (7 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (865 kB)  ]    Order

  • Electrical Characterisation and Reliability of Devices with Alternative Dielectrics
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Recent trends in bias temperature instability
    B. Kaczer, T. Grasser, J. Franco, M. Toledano-Luque, Ph. J. Roussel, M. Cho, E. Simoen, and G. Groeseneken
    J. Vac. Sci. Technol. B 29, 01AB01 (2011) (7 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (580 kB)  ]    Order
  • Dielectric breakdown in polycrystalline hafnium oxide gate dielectrics investigated by conductive atomic force microscopy
    V. Iglesias, M. Porti, M. Nafría, X. Aymerich, P. Dudek, and G. Bersuker
    J. Vac. Sci. Technol. B 29, 01AB02 (2011) (4 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (350 kB)  ]    Order
  • CV measurements on LaLuO3 stack metal-oxide-semiconductor capacitor using a new three-pulse technique
    N. Sedghi, I. Z. Mitrovic, S. Hall, J. M. J. Lopes, and J. Schubert
    J. Vac. Sci. Technol. B 29, 01AB03 (2011) (6 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (762 kB)  ]    Order
  • Dielectric layers suitable for high voltage integrated trench capacitors
    J. vom Dorp, T. Erlbacher, A. J. Bauer, H. Ryssel, and L. Frey
    J. Vac. Sci. Technol. B 29, 01AB04 (2011) (6 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (884 kB)  ]    Order
  • Noncontact metrology for inversion charge carrier mobility by corona charge and photovoltage measurements on blank wafers with a gate dielectric
    J. L. Everaert, E. Rosseel, A. Pap, A. Meszaros, J. Dekoster, and T. Pavelka
    J. Vac. Sci. Technol. B 29, 01AB05 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (406 kB)  ]    Order
  • Current instabilities in rare-earth oxides-HfO2 gate stacks grown on germanium based metal-oxide-semiconductor devices due to Maxwell–Wagner instabilities and dielectrics relaxation
    M. S. Rahman, E. K. Evangelou, A. Dimoulas, G. Mavrou, and S. Galata
    J. Vac. Sci. Technol. B 29, 01AB06 (2011) (7 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (677 kB)  ]    Order
  • Processing dependences of channel hot-carrier degradation on strained-Si p-channel metal-oxide semiconductor field-effect transistors
    E. Amat, J. Martin-Martínez, M. B. Gonzalez, R. Rodríguez, M. Nafría, X. Aymerich, P. Verheyen, and E. Simoen
    J. Vac. Sci. Technol. B 29, 01AB07 (2011) (4 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (351 kB)  ]    Order
  • Gate oxide reliability at the nanoscale evaluated by combining conductive atomic force microscopy and constant voltage stress
    T. Erlbacher, V. Yanev, M. Rommel, A. J. Bauer, and L. Frey
    J. Vac. Sci. Technol. B 29, 01AB08 (2011) (4 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (532 kB)  ]    Order
  • Hot-carrier degradation caused interface state profile—Simulation versus experiment
    I. Starkov, S. Tyaginov, H. Enichlmair, J. Cervenka, C. Jungemann, S. Carniello, J. M. Park, H. Ceric, and T. Grasser
    J. Vac. Sci. Technol. B 29, 01AB09 (2011) (8 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (567 kB)  ]    Order
  • Reliability studies on Ta2O5 high-kappa dielectric metal-insulator-metal capacitors prepared by wet anodization
    N. Sedghi, W. Davey, I. Z. Mitrovic, and S. Hall
    J. Vac. Sci. Technol. B 29, 01AB10 (2011) (8 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (1120 kB)  ]    Order

  • High-k Dielectrics for DRAM
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Electrical characteristics of Ti–Ta–O based MIM capacitors
    M. Lukosius, C. Baristiran Kaynak, Ch. Wenger, G. Ruhl, and S. Rushworth
    J. Vac. Sci. Technol. B 29, 01AC01 (2011) (6 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (602 kB)  ]    Order
  • Macroscopic and microscopic electrical characterizations of high-k ZrO2 and ZrO2/Al2O3/ZrO2 metal-insulator-metal structures
    Dominik Martin, Matthias Grube, Wenke Weinreich, Johannes Müller, Lutz Wilde, Elke Erben, Walter M. Weber, Johannes Heitmann, Uwe Schröder, Thomas Mikolajick, and Henning Riechert
    J. Vac. Sci. Technol. B 29, 01AC02 (2011) (8 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (1598 kB)  ]    Order
  • Atomic-scale engineering of future high-k dynamic random access memory dielectrics: The example of partial Hf substitution by Ti in BaHfO3
    P. Dudek, G. Lupina, G. Kozlowski, P. Zaumseil, J. Bauer, O. Fursenko, J. Dabrowski, R. Schmidt, G. Lippert, H.-J. Müssig, T. Schroeder, D. Schmeißer, and E. Zschech
    J. Vac. Sci. Technol. B 29, 01AC03 (2011) (7 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (834 kB)  ]    Order
  • Influence of precursor chemistry and growth temperature on the electrical properties of SrTiO3-based metal-insulator-metal capacitors grown by atomic layer deposition
    H. García, H. Castán, A. Gómez, S. Dueñas, L. Bailón, K. Kukli, M. Kariniemi, M. Kemell, J. Niinistö, M. Ritala, and M. Leskelä
    J. Vac. Sci. Technol. B 29, 01AC04 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (222 kB)  ]    Order
  • Applicability of molecular beam deposition for the growth of high-k oxides
    Matthias Grube, Dominik Martin, Walter M. Weber, Thomas Mikolajick, Oliver Bierwagen, Lutz Geelhaar, and Henning Riechert
    J. Vac. Sci. Technol. B 29, 01AC05 (2011) (3 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (349 kB)  ]    Order
  • Temperature dependence of TaAlOx metal-insulator-metal capacitors
    M. K. Hota, S. Mallik, C. K. Sarkar, and C. K. Maiti
    J. Vac. Sci. Technol. B 29, 01AC06 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (564 kB)  ]    Order
  • Evaluation of the electrical and physical properties of thin calcium titanate high-k insulators for capacitor applications
    A. Krause, W. Weber, A. Jahn, K. Richter, D. Pohl, B. Rellinghaus, U. Schröder, J. Heitmann, and T. Mikolajick
    J. Vac. Sci. Technol. B 29, 01AC07 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (424 kB)  ]    Order
  • Analysis of leakage current mechanisms in RuO2–TiO2–RuO2 MIM structures
    J. Racko, M. Mikolášek, L. Harmatha, J. Breza, B. Hudec, K. Fröhlich, J. Aarik, A. Tarre, R. Granzner, and F. Schwierz
    J. Vac. Sci. Technol. B 29, 01AC08 (2011) (8 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (475 kB)  ]    Order
  • Atomic layer deposition grown metal-insulator-metal capacitors with RuO2 electrodes and Al-doped rutile TiO2 dielectric layer
    B. Hudec, K. Hušeková, E. Dobročka, J. Aarik, R. Rammula, A. Kasikov, A. Tarre, A. Vincze, and K. Fröhlich
    J. Vac. Sci. Technol. B 29, 01AC09 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (738 kB)  ]    Order

  • Resistive Switching in Dielectrics
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Nanostructured resistive memory cells based on 8-nm-thin TiO2 films deposited by atomic layer deposition
    C. Kügeler, J. Zhang, S. Hoffmann-Eifert, S. K. Kim, and R. Waser
    J. Vac. Sci. Technol. B 29, 01AD01 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (1499 kB)  ]    Order
  • On the role of Ti adlayers for resistive switching in HfO2-based metal-insulator-metal structures: Top versus bottom electrode integration
    Ch. Walczyk, Ch. Wenger, D. Walczyk, M. Lukosius, I. Costina, M. Fraschke, J. Dabrowski, A. Fox, D. Wolansky, S. Thiess, E. Miranda, B. Tillack, and T. Schroeder
    J. Vac. Sci. Technol. B 29, 01AD02 (2011) (7 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (1663 kB)  ]    Order
  • Stochastic model of the resistive switching mechanism in bipolar resistive random access memory: Monte Carlo simulations
    A. Makarov, V. Sverdlov, and S. Selberherr
    J. Vac. Sci. Technol. B 29, 01AD03 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (496 kB)  ]    Order
  • Temperature dependence of the resistance switching effect studied on the metal/YBa2Cu3O6+x planar junctions
    Milan Tomasek, Tomas Plecenik, Martin Truchly, Jaroslav Noskovic, Tomas Roch, Miroslav Zahoran, Stefan Chromik, Mariana Spankova, Peter Kus, and Andrej Plecenik
    J. Vac. Sci. Technol. B 29, 01AD04 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (252 kB)  ]    Order
  • Mesoscopic nature of the electron transport in electroformed metal-insulator-metal switches
    E. Miranda
    J. Vac. Sci. Technol. B 29, 01AD05 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (154 kB)  ]    Order

  • Dielectrics for Non-Volatile Memories
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Charge retention phenomena in CT silicon nitride: Impact of technology and operating conditions
    G. Ghidini, N. Galbiati, E. Mascellino, C. Scozzari, A. Sebastiani, S. Amoroso, C. Monzio Compagnoni, A. S. Spinelli, A. Maconi, R. Piagge, A. Del Vitto, M. Alessandri, I. Baldi, E. Moltrasio, G. Albini et al.
    J. Vac. Sci. Technol. B 29, 01AE01 (2011) (4 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (556 kB)  ]    Order
  • Study of parasitic trapping in alumina used as blocking oxide for nonvolatile memories
    J. P. Colonna, M. Bocquet, G. Molas, N. Rochat, P. Blaise, H. Grampeix, C. Licitra, D. Lafond, L. Masoero, V. Vidal, J. P. Barnes, M. Veillerot, and K. Yckache
    J. Vac. Sci. Technol. B 29, 01AE02 (2011) (5 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (955 kB)  ]    Order
  • Synthesis and characterization of DyScO films deposited on Si and Si-rich SiN by atomic layer deposition for blocking layer replacement in TANOS stack
    A. Lamperti, E. Cianci, U. Russo, S. Spiga, O. Salicio, G. Congedo, and M. Fanciulli
    J. Vac. Sci. Technol. B 29, 01AE03 (2011) (9 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (1828 kB)  ]    Order
  • Evaluation of DyScOx as an alternative blocking dielectric in TANOS memories with Si3N4 or Si-rich SiN charge trapping layers
    G. Congedo, S. Spiga, U. Russo, A. Lamperti, O. Salicio, E. Cianci, and M. Fanciulli
    J. Vac. Sci. Technol. B 29, 01AE04 (2011) (7 pages)
    Abstract   Full Text: [ Read Online (HTML)   PDF  (588 kB)  ]    Order
  • [ Top of Page ]