Sedemos News

lunes, 14 de diciembre de 2009

Table of Contents Alert for Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures


Journal of Vacuum Science & Technology B (Microelectronics and Nanometer Structures)

November 2009

Volume 27, Issue 6 , pp. L33-3260

[ Previous Issue | Available Volumes | Issue Index ]

  • Letters
  • Regular Articles
  • Shop Notes
  • Errata
  • PAPERS FROM THE 53rd INTERNATIONAL CONFERENCE ON ELECTRON, ION, AND PHOTON BEAM TECHNOLOGY AND NANOFABRICATION
  • LITHOGRAPHY
  • NANOFABRICATION MATERIALS
  • APPLICATIONS
  • NANOMETROLOGY
  • Letters

  • Proton irradiation effects on Sb-based heterojunction bipolar transistors
    C. F. Lo, H.-Y. Kim, J. Kim, Shu-Han Chen, Sheng-Yu Wang, Jen-Inn Chyi, B. Y. Chou, K. H. Chen, Y. L. Wang, C. Y. Chang, S. J. Pearton, L. I. Kravchenko, S. Jang, and F. Ren
    pp. L33-L37

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (598 kB)  ]    Order
  • Resonant structures based on amorphous silicon suboxide doped with Er3+ with silicon nanoclusters for an efficient emission at 1550  nm
    D. S. L. Figueira, D. Mustafa, L. R. Tessler, and N. C. Frateschi
    pp. L38-L41

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (435 kB)  ]    Order
  • 190  nm excimer laser drilling of glass slices: Dependence of drilling rate and via hole shape on the diameter of the via hole
    K. H. Chen, Wenhsing Wu, Byung Hwan Chu, C. F. Lo, Jenshan Lin, Y. L. Wang, C. Y. Chang, S. J. Pearton, and F. Ren
    pp. L42-L46

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (668 kB)  ]    Order
  • Alloy liquid metal ion source for carbon focused ion beams
    P. Mazarov, A. D. Wieck, L. Bischoff, and W. Pilz
    pp. L47-L49

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (259 kB)  ]    Order
  • Selective etching and polymer deposition on InP surface in reactive ion etching with a mixture of methane and hydrogen
    Norio Yamamoto
    pp. L50-L53

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (232 kB)  ]    Order
  • Thermal stability of GeSbTe thin films deposited by layer-by-layer metalorganic chemical vapor deposition
    Jun-Ku Ahn, Kyoung-Woo Park, Nak-Jin Seong, and Soon-Gil Yoon
    pp. L54-L57

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (367 kB)  ]    Order
  • Regular Articles

  • Investigation of the physical and electronic properties of indium doped zinc oxide nanofibers synthesized by electrospinning
    A. F. Lotus, Y. C. Kang, R. D. Ramsier, and G. G. Chase
    pp. 2331-2336

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (819 kB)  ]    Order
  • Removing plasma-induced sidewall damage in GaN-based light-emitting diodes by annealing and wet chemical treatments
    Y. Yang and X. A. Cao
    pp. 2337-2341

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (747 kB)  ]    Order
  • Damage evolution in GaN under MeV heavy ion implantation
    Yuan Gao, Jianming Xue, Dongzheng Zhang, Zilong Wang, Chune Lan, Sha Yan, Yugang Wang, Fujun Xu, Bo Shen, and Yanwen Zhang
    pp. 2342-2346

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (696 kB)  ]    Order
  • Focused-ion beam fabrication of nanometer orifices for leak detection
    G. Firpo, L. Repetto, F. Buatier de Mongeot, and U. Valbusa
    pp. 2347-2350

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (527 kB)  ]    Order
  • HBr based inductively coupled plasma etching of high aspect ratio nanoscale trenches in InP: Considerations for photonic applications
    N. Sultana, Wei Zhou, Tim P. LaFave, Jr., and Duncan L. MacFarlane
    pp. 2351-2356

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (792 kB)  ]    Order
  • Measuring interface electrostatic potential and surface charge in a scanning electron microscope
    I. Sychugov, Y. Nakayama, and K. Mitsuishi
    pp. 2357-2360

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (560 kB)  ]    Order
  • Selective dry etching of attenuated phase-shift mask materials for extreme ultraviolet lithography using inductively coupled plasmas
    H. Y. Jung, Y. R. Park, H. J. Lee, N.-E. Lee, C. Y. Jeong, and Jinho Ahn
    pp. 2361-2365

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (417 kB)  ]    Order
  • Electrical characteristics of thin boron carbonitride films on Ge(100) and Si(100)
    P. R. Fitzpatrick and J. G. Ekerdt
    pp. 2366-2374

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (501 kB)  ]    Order
  • Study of low temperature growth of III-V alloys for transparent layers
    L. Wu, S. Iyer, J. Li, K. Gibson, J. Reppert, A. M. Rao, K. Matney, and J. Lewis
    pp. 2375-2383

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (969 kB)  ]    Order
  • Influence of sputtering a ZnMgO window layer on the interface and bulk properties of Cu(In,Ga)Se2 solar cells
    Jian V. Li, Xiaonan Li, Yanfa Yan, Chun-Sheng Jiang, Wyatt K. Metzger, Ingrid L. Repins, Miguel A. Contreras, and Dean H. Levi
    pp. 2384-2389

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (326 kB)  ]    Order
  • Physical and electrical characterizations of metal-oxide-semiconductor capacitors fabricated on GaAs substrates with different surface chemical treatments and Al2O3 gate dielectric
    Domingo I. Garcia-Gutierrez, Davood Shahrjerdi, Vidya Kaushik, and Sanjay K. Banerjee
    pp. 2390-2395

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (554 kB)  ]    Order
  • Influence of proton irradiation on the structure and stability of poly(dimethylsiloxane) and poly(dimethylsiloxane)-nanodiamond composite
    V. Borjanović, L. Bistričić, I. Vlasov, K. Furić, I. Zamboni, M. Jakšić, and O. Shenderova
    pp. 2396-2403

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (604 kB)  ]    Order
  • Transport mechanism in aluminum nitride-metal multilayer junctions
    A. Kabulski and D. Korakakis
    pp. 2404-2407

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (312 kB)  ]    Order
  • Simultaneous measurement of thermal conductivity and interface thermal conductance of diamond thin film
    Byeonghee Lee, Joon Sik Lee, Sun Ung Kim, Kyeongtae Kim, Ohmyoung Kwon, Seungkoo Lee, Jong Hoon Kim, and Dae Soon Lim
    pp. 2408-2412

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (356 kB)  ]    Order
  • Hyperthermal atomic hydrogen and oxygen etching of vertically oriented graphene sheets
    M. Bagge-Hansen, R. A. Outlaw, M. Y. Zhu, H. J. Chen, and D. M. Manos
    pp. 2413-2419

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (561 kB)  ]    Order
  • Improving field-emission uniformity of large-area W18O49 nanowire films by electrical treatment
    Z. L. Li, Fei Liu, N. S. Xu, Jun Chen, and S. Z. Deng
    pp. 2420-2425

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (825 kB)  ]    Order
  • Concept and operation of Schottky emitter without suppressor electrode
    A. K. Dokania and P. Kruit
    pp. 2426-2431

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (493 kB)  ]    Order
  • Thermodynamically stable nanotips of Au–Mo alloy
    K. Nomura, T. Nagao, B. L. Cho, H. Katsuda, T. Matsumura, and C. Oshima
    pp. 2432-2434

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (238 kB)  ]    Order
  • Emission site density depending on surface area and morphology of nanotube film emitters
    Huarong Liu, Shigeki Kato, and Yahachi Saito
    pp. 2435-2438

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (355 kB)  ]    Order
  • Protein patterning on the micro- and nanoscale by thermal nanoimprint lithography on a new functionalized copolymer
    S. Merino, A. Retolaza, V. Trabadelo, A. Cruz, P. Heredia, J. A. Alduncín, D. Mecerreyes, I. Fernández-Cuesta, X. Borrisé, and F. Pérez-Murano
    pp. 2439-2443

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (609 kB)  ]    Order
  • Carbon nanotube pillar structures for human neural cell culture
    Jin Woo Lee, Kyong Soo Lee, Byeong Kwon Ju, Hyun Jin Cho, Nae Sung Lee, Min Young Kim, Eun Hye Kim, and Kyu Back Lee
    pp. 2444-2448

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1593 kB)  ]    Order
  • Fabrication of large-area gallium arsenide nanowires using silicon dioxide nanoparticle mask
    Ding-Shin Wang, Jiun-Jie Chao, Shih-Che Hung, and Ching-Fuh Lin
    pp. 2449-2452

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (525 kB)  ]    Order
  • Growth of InSb epilayers and quantum wells on Ge(001) substrates by molecular beam epitaxy
    M. C. Debnath, T. D. Mishima, M. B. Santos, K. Hossain, and O. W. Holland
    pp. 2453-2456

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (242 kB)  ]    Order
  • Inverted method for fabricating a nano-aperture device with subwavelength structures
    A. Suutala, J. Olkkonen, D. C. Cox, J. Lappalainen, and H. Jantunen
    pp. 2457-2461

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (537 kB)  ]    Order
  • Enhanced charge storage characteristics of silicon nanocrystals fabricated by electron-beam coevaporation of Si and SiOx(x=1  or  2)
    Chen Chen, Rui Jia, Weilong Li, Haofeng Li, Tianchun Ye, Xinyu Liu, Ming Liu, Seiya Kasai, Hashizume Tamotsu, and Nanjian Wu
    pp. 2462-2467

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (799 kB)  ]    Order
  • Retention-failure mechanism of TaN/CuxO/Cu resistive memory with good data retention capability
    H. J. Wan, P. Zhou, L. Ye, Y. Y. Lin, J. G. Wu, H. Wu, and M. H. Chi
    pp. 2468-2471

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (575 kB)  ]    Order
  • High density plasma etching of titanium nitride metal gate electrodes for fully depleted silicon-on-insulator subthreshold transistor integration
    Steven A. Vitale, Jakub Kedzierski, and Craig L. Keast
    pp. 2472-2479

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1059 kB)  ]    Order
  • Photoresist removal using an O2/N2 medium pressure plasma jet with high speed wafer scanning: Unimplanted resist studies
    M. Bhargava, B. Craver, Jose L. Torres, H. Guo, S. C. Vemula, A. K. Srivastava, I. Berry, and J. C. Wolfe
    pp. 2480-2486

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (634 kB)  ]    Order
  • Shop Notes

  • Fabrication of oxidation-free contacts to nanopatterned Permalloy structures
    Hye-Young Kim, Kang Ho Lee, Gyu-Tae Kim, Woun Kang, and Kyung-Jin Lee
    pp. 2487-2489

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (334 kB)  ]    Order
  • Errata

  • Erratum for: “IVNC Preface” [J. Vac. Sci. Technol. B Volume 27, Issue 2, pp. 686–686 (2009)]
    Jan A. Dziuban
    p. 2490

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (28 kB)  ]    Order
  • PAPERS FROM THE 53rd INTERNATIONAL CONFERENCE ON ELECTRON, ION, AND PHOTON BEAM TECHNOLOGY AND NANOFABRICATION


    Preface
    [ Next Subject | Issue Index | Top / Bottom of Page]

  • Preface
    Elizabeth Dobisz
    p. 2502

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (23 kB)  ]    Order
  • LITHOGRAPHY


    E-Beam Lithography
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Method for improving the aspect ratio of ultrahigh-resolution structures in negative electron-beam resist
    V. A. Sidorkin, P. F. A. Alkemade, H. W. M. Salemink, R. Schmits, and E. van der Drift
    pp. 2503-2507

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (539 kB)  ]    Order
  • Patterning decomposable polynorbornene with electron beam lithography to create nanochannels
    Nicole R. Devlin, Devin K. Brown, and Paul A. Kohl
    pp. 2508-2511

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (684 kB)  ]    Order
  • Study on line edge roughness for electron beam acceleration voltages from 50  to  5  kV
    D. Rio, C. Constancias, M. Saied, B. Icard, and L. Pain
    pp. 2512-2517

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (456 kB)  ]    Order
  • Evaluation of each electron beam and exposure results with four column cells in multicolumn e-beam exposure system
    Akio Yamada, Hiroshi Yasuda, and Masaki Yamabe
    pp. 2518-2523

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (913 kB)  ]    Order
  • Reversible shape changes of the end facet on Schottky electron emitters
    M. S. Bronsgeest and P. Kruit
    pp. 2524-2531

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (531 kB)  ]    Order
  • Design for electron beam: A novel approach to electron beam direct writing throughput enhancement for volume production
    Takashi Maruyama, Yasuhide Machida, Shinji Sugatani, Haruo Tsuchikawa, Hiromi Hoshino, Masaru Ito, Haruyuki Tago, Larry L. Chau, Shone Lee, and Hideaki Komami
    pp. 2532-2536

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1062 kB)  ]    Order
  • Self-powered near field electron lithography
    Yuerui Lu, Norimasa Yoshimizu, and Amit Lal
    pp. 2537-2541

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (459 kB)  ]    Order
  • Microcolumn design for a large scan field and pixel number
    H. Weigand, S. Gautsch, W. Strohmaier, M. Fleischer, U. Staufer, N. F. de Rooij, and D. P. Kern
    pp. 2542-2546

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (645 kB)  ]    Order
  • Off-axis emission properties for the extended Schottky electron source
    K. Liu, G. A. Schwind, and L. W. Swanson
    pp. 2547-2552

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (508 kB)  ]    Order
  • Method of improving the quality of nanopatterning in atomic image projection electron-beam lithography
    Hyun-Mi Kim, Min Hyun Lee, Hyo-Sung Lee, Jung-Sub Wi, Kipil Lim, and Ki-Bum Kim
    pp. 2553-2557

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (753 kB)  ]    Order
  • Amino-propyl-triethoxy-silane on aluminum fiducial grids for spatial-phase-locked electron-beam lithography
    C. B. Samantaray and J. T. Hastings
    pp. 2558-2562

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (435 kB)  ]    Order
  • Image processing using shape recognition for alignment to damaged registration marks in electron beam lithography
    E. Kratschmer, D. P. Klaus, R. Viswanathan, M. L. Turnidge, P. L. Reed, and B. McPhail
    pp. 2563-2568

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (873 kB)  ]    Order
  • Nanoscale geometry assisted proximity effect correction for electron beam direct write nanolithography
    L. E. Ocola
    pp. 2569-2571

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (600 kB)  ]    Order
  • Application of neural network to controlling three-dimensional electron-beam exposure distribution in resist
    C. Guo, S.-Y. Lee, S. H. Lee, B.-G. Kim, and H.-K. Cho
    pp. 2572-2579

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (917 kB)  ]    Order
  • Spatial dose control for fabrication of saw-tooth structures
    S.-Y. Lee, S. C. Jeon, J. S. Kim, K. N. Kim, M. S. Hyun, J. J. Yoo, and J. W. Kim
    pp. 2580-2584

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (476 kB)  ]    Order
  • Fabrication of high density, high-aspect-ratio polyimide nanofilters
    Olga V. Makarova, Cha-Mei Tang, Platte Amstutz, Ralu Divan, Alexandra Imre, Derrick C. Mancini, Mark Hoffbauer, and Todd Williamson
    pp. 2585-2587

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (701 kB)  ]    Order
  • Hydrogen silsesquioxane-based hybrid electron beam and optical lithography for high density circuit prototyping
    M. Guillorn, J. Chang, N. Fuller, J. Patel, M. Darnon, A. Pyzyna, E. Joseph, S. Engelmann, J. Ott, J. Newbury, D. Klaus, J. Bucchignano, P. Joshi, C. Scerbo, E. Kratschmer et al.
    pp. 2588-2592

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (384 kB)  ]    Order
  • Cold-developed electron-beam-patterned ZEP 7000 for fabrication of 13 nm nickel zone plates
    Julia Reinspach, Magnus Lindblom, Olov von Hofsten, Michael Bertilson, Hans M. Hertz, and Anders Holmberg
    pp. 2593-2596

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (485 kB)  ]    Order
  • Resist residues and transistor gate fabrication
    D. S. Macintyre, O. Ignatova, S. Thoms, and I. G. Thayne
    pp. 2597-2601

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (414 kB)  ]    Order
  • Robust, efficient grating couplers for planar optical waveguides using no-photoacid generator SU-8 electron beam lithography
    A. L. Bross, G. Lafyatis, R. Ayachitula, A. Morss, R. Hardman, and J. Golden
    pp. 2602-2605

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (450 kB)  ]    Order
  • Hydrogen silsesquioxane double patterning process for 12  nm resolution x-ray zone plates
    Weilun Chao, Jihoon Kim, Senajith Rekawa, Peter Fischer, and Erik Anderson
    pp. 2606-2611

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (845 kB)  ]    Order
  • Simple technique for beam focusing in electron beam lithography on optically transparent substrates
    Michael L. Schuette and Wu Lu
    pp. 2612-2615

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (513 kB)  ]    Order
  • Limiting factors in sub-10  nm scanning-electron-beam lithography
    Bryan Cord, Joel Yang, Huigao Duan, David C. Joy, Joseph Klingfus, and Karl K. Berggren
    pp. 2616-2621

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (454 kB)  ]    Order
  • Understanding of hydrogen silsesquioxane electron resist for sub-5-nm-half-pitch lithography
    Joel K. W. Yang, Bryan Cord, Huigao Duan, Karl K. Berggren, Joseph Klingfus, Sung-Wook Nam, Ki-Bum Kim, and Michael J. Rooks
    pp. 2622-2627

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (606 kB)  ]    Order
  • Understanding the base development mechanism of hydrogen silsesquioxane
    Jihoon Kim, Weilun Chao, Brian Griedel, Xiaogan Liang, Mark Lewis, Dawn Hilken, and Deirdre Olynick
    pp. 2628-2634

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (513 kB)  ]    Order
  • Contrast enhancement behavior of hydrogen silsesquioxane in a salty developer
    Sung-Wook Nam, Michael J. Rooks, Joel K. W. Yang, Karl K. Berggren, Hyun-Mi Kim, Min-Hyun Lee, Ki-Bum Kim, Jae Hwan Sim, and Do Yeung Yoon
    pp. 2635-2639

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (621 kB)  ]    Order
  • Ultradense gold nanostructures fabricated using hydrogen silsesquioxane resist and applications for surface-enhanced Raman spectroscopy
    Sookyung Choi, Minjun Yan, Ilesanmi Adesida, Keng H. Hsu, and Nicholas X. Fang
    pp. 2640-2643

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (452 kB)  ]    Order
  • Apparatus to measure electron reflection
    Juan R. Maldonado, Yun Sun, Roger Tsai, Fabian Pease, and Piero Pianetta
    pp. 2644-2647

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (446 kB)  ]    Order

  • Ion Beam Based Nanofabrication
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Development and characterization of an iodine field emission ion source for focused ion beam applications
    Timothy P. Fedkiw and Paulo C. Lozano
    pp. 2648-2653

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (328 kB)  ]    Order
  • Optimization of focused ion beam performance
    C. W. Hagen and P. Kruit
    pp. 2654-2659

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (391 kB)  ]    Order
  • Beam induced deposition of platinum using a helium ion microscope
    Colin A. Sanford, Lewis Stern, Louise Barriss, Lou Farkas, Mark DiManna, Russ Mello, Diederik J. Maas, and Paul F. A. Alkemade
    pp. 2660-2667

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (937 kB)  ]    Order
  • Ion multibeam nanopatterning for photonic applications: Experiments and simulations, including study of precursor gas induced etching and deposition
    Christoph Ebm, Elmar Platzgummer, Hans Loeschner, Stefan Eder-Kapl, Peter Joechl, Marco Kuemmel, Ruediger Reitinger, Gerhard Hobler, Anton Koeck, Rainer Hainberger, Markus Wellenzohn, Florian Letzkus, and Mathias Irmscher
    pp. 2668-2673

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1020 kB)  ]    Order
  • Near neighbor averaging: A technique for improving image uniformity in aperture array lithography
    A. Nasrullah, D. Smith, T. Sherlock, P. Ruchhoeft, and D. Litvinov
    pp. 2674-2678

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (496 kB)  ]    Order
  • Nanoimprint lithography stamp modification utilizing focused ion beams
    Heinz D. Wanzenboeck, Simon Waid, Emmerich Bertagnolli, Michael Muehlberger, Iris Bergmair, and Rainer Schoeftner
    pp. 2679-2685

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (469 kB)  ]    Order
  • Ion beam sharpening of diamond tools having small apex angle without facet and ripple formations
    Takashi Nagase, Hiroyuki Kato, S. A. Pahlovy, Iwao Miyamoto, and Yuya Nakamura
    pp. 2686-2690

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (375 kB)  ]    Order
  • Fabrication of complementary metal-oxide-semiconductor integrated nanomechanical devices by ion beam patterning
    G. Rius, J. Llobet, X. Borrisé, N. Mestres, A. Retolaza, S. Merino, and F. Perez-Murano
    pp. 2691-2697

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1124 kB)  ]    Order
  • Evaluations of the hopping growth characteristics on three-dimensional nanostructure fabrication using focused ion beam
    Reo Kometani, Shin'ichi Warisawa, and Sunao Ishihara
    pp. 2698-2701

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (537 kB)  ]    Order
  • Scanning-helium-ion-beam lithography with hydrogen silsesquioxane resist
    D. Winston, B. M. Cord, B. Ming, D. C. Bell, W. F. DiNatale, L. A. Stern, A. E. Vladar, M. T. Postek, M. K. Mondol, J. K. W. Yang, and K. K. Berggren
    pp. 2702-2706

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (248 kB)  ]    Order

  • Maskless Lithography
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Charged particle nanopatterning
    Elmar Platzgummer and Hans Loeschner
    pp. 2707-2710

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1478 kB)  ]    Order
  • Evaluation of chamber contamination in a scanning electron microscope
    P. Roediger, H. D. Wanzenboeck, G. Hochleitner, and E. Bertagnolli
    pp. 2711-2717

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (639 kB)  ]    Order
  • Roles of secondary electrons and sputtered atoms in ion-beam-induced deposition
    Ping Chen, Huub W. M. Salemink, and Paul F. A. Alkemade
    pp. 2718-2721

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (390 kB)  ]    Order
  • Resolution and total blur: Correlation and focus dependencies in e-beam lithography
    K. Keil, M. Hauptmann, J. Kretz, C. Constancias, L. Pain, and J.-W. Bartha
    pp. 2722-2726

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (466 kB)  ]    Order
  • Gas assisted focused electron beam induced etching of alumina
    T. Bret, B. Afra, R. Becker, Th. Hofmann, K. Edinger, T. Liang, and P. Hoffmann
    pp. 2727-2731

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (312 kB)  ]    Order
  • Fabrication of high-aspect ratio silicon nanopillars and nanocones using deep reactive ion etching
    C. Fischer, J. W. Menezes, S. A. Moshkalev, C. Veríssimo, A. R. Vaz, and J. W. Swart
    pp. 2732-2736

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (671 kB)  ]    Order
  • Direct write of optical waveguides on chalcogenide thin films using electron beams
    G. B. Hoffman, W. Zhou, R. Sooryakumar, and R. M. Reano
    pp. 2737-2741

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (488 kB)  ]    Order
  • High density submicron features using a laser pattern generator and double patterning
    Mikhail E. Gaevski, G. Patrick Watson, and Anthony E. Novembre
    pp. 2742-2744

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (334 kB)  ]    Order
  • Laser print patterning of planar spiral inductors and interdigitated capacitors
    N. Klejwa, R. Misra, J. Provine, R. T. Howe, and S. J. Klejwa
    pp. 2745-2749

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (967 kB)  ]    Order
  • Generating integrated-circuit patterns via cutting and stitching of gratings
    Lin Zhao, Yi Xuan, and Minghao Qi
    pp. 2750-2754

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (442 kB)  ]    Order
  • Precision material modification and patterning with He ions
    David C. Bell, Max C. Lemme, Lewis A. Stern, and Charles M. Marcus
    pp. 2755-2758

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (598 kB)  ]    Order
  • Electron postgrowth irradiation of platinum-containing nanostructures grown by electron-beam-induced deposition from Pt(PF3)4
    A. Botman, C. W. Hagen, J. Li, B. L. Thiel, K. A. Dunn, J. J. L. Mulders, S. Randolph, and M. Toth
    pp. 2759-2763

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (803 kB)  ]    Order
  • Atomic precision lithography on Si
    J. N. Randall, J. W. Lyding, S. Schmucker, J. R. Von Ehr, J. Ballard, R. Saini, H. Xu, and Y. Ding
    pp. 2764-2768

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (456 kB)  ]    Order
  • High rate gas dosing for tip based nanofabrication processes
    M. P. Kanouff, J. N. Randall, M. Nadesalingham, W. P. Kirk, and R. M. Wallace
    pp. 2769-2775

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (696 kB)  ]    Order

  • Nanoimprint Lithography
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Evaluation of nanoimprint lithography as a fabrication process of phase-shifted diffraction gratings of distributed feedback laser diodes
    Masaki Yanagisawa, Yukihiro Tsuji, Hiroyuki Yoshinaga, Naoya Kono, and Kenji Hiratsuka
    pp. 2776-2780

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (650 kB)  ]    Order
  • Complete reversal imprinting for fabricating microlens arrays with faithful shape replication
    Po-Hsun Huang and Sen-Yeu Yang
    pp. 2781-2785

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (503 kB)  ]    Order
  • Direct indium tin oxide patterning using thermal nanoimprint lithography for highly efficient optoelectronic devices
    Ki-Yeon Yang, Kyung-Min Yoon, SangWoo Lim, and Heon Lee
    pp. 2786-2789

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (365 kB)  ]    Order
  • Self-aligned fabrication of 10 nm wide asymmetric trenches for Si/SiGe heterojunction tunneling field effect transistors using nanoimprint lithography, shadow evaporation, and etching
    Chao Wang and Stephen Y. Chou
    pp. 2790-2794

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (657 kB)  ]    Order
  • SU-8-based immunoisolative microcontainer with nanoslots defined by nanoimprint lithography
    Joonbum Kwon, Krutarth Trivedi, Nemani V. Krishnamurthy, Walter Hu, Jeong-Bong Lee, and Barjor Gimi
    pp. 2795-2800

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (570 kB)  ]    Order
  • Fabrication of poly(3-hexylthiophene) self-switching diodes using thermal nanoimprint lithography and argon milling
    J. Kettle, S. Whitelegg, A. M. Song, M. B. Madec, S. Yeates, M. L. Turner, Libor Kotacka, and Vladimir Kolarik
    pp. 2801-2804

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (231 kB)  ]    Order
  • UV irradiation effect on sol-gel indium tin oxide nanopatterns replicated by room-temperature nanoimprint
    Yuji Kang, Makoto Okada, Ken-Ichiro Nakamatsu, Kazuhiro Kanda, Yuichi Haruyama, and Shinji Matsui
    pp. 2805-2809

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (826 kB)  ]    Order
  • Formation of TiO2 nanopattern using reverse imprinting and sol-gel method
    Kyung-min Yoon, Ki-Yeon Yang, Heon Lee, and Hyeong-Seok Kim
    pp. 2810-2813

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (349 kB)  ]    Order
  • Thermal roller imprint on surface of Teflon perfluoroalkoxy inlet tube
    Harutaka Mekaru, Eri Fukushima, Yoshihito Hiyama, and Masaharu Takahashi
    pp. 2814-2819

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (549 kB)  ]    Order
  • Thermal imprinting on quartz fiber using glasslike carbon mold
    Harutaka Mekaru, Chieko Okuyama, Akihisa Ueno, and Masaharu Takahashi
    pp. 2820-2825

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (421 kB)  ]    Order
  • Thermal stability of confined flip-chip laminated omega-functionalized monolayers
    M. Coll, C. A. Richter, and C. A. Hacker
    pp. 2826-2831

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (351 kB)  ]    Order
  • Fabrication of nanodot array molds by using an inorganic electron-beam resist and a postexposure bake
    Tetsuro Manabe, Jun Taniguchi, and Kiyoshi Ishikawa
    pp. 2832-2836

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1198 kB)  ]    Order
  • Sub-15  nm nanoimprint molds and pattern transfer
    Debbie Morecroft, Joel K. W. Yang, S. Schuster, Karl K. Berggren, Qiangfei Xia, Wei Wu, and R. Stanley Williams
    pp. 2837-2840

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (512 kB)  ]    Order
  • Fabrication of a seamless roll mold by direct writing with an electron beam on a rotating cylindrical substrate
    Jun Taniguchi and Masao Aratani
    pp. 2841-2845

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (862 kB)  ]    Order
  • Transparent hybrid polymer stamp copies with sub-50-nm resolution for thermal and UV-nanoimprint lithography
    Helmut Schift, Christian Spreu, Menouer Saidani, Martin Bednarzik, Jens Gobrecht, Anna Klukowska, Freimut Reuther, Gabi Gruetzner, and Harun H. Solak
    pp. 2846-2849

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (243 kB)  ]    Order
  • Easy mask-mold fabrication for combined nanoimprint and photolithography
    Helmut Schift, Christian Spreu, Arne Schleunitz, Jens Gobrecht, Anna Klukowska, Freimut Reuther, and Gabi Gruetzner
    pp. 2850-2853

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (316 kB)  ]    Order
  • Nanogratings containing sub-10-nm wide trenches by dimension reduction from sloped polymer profile
    Krutarth Trivedi and Walter Hu
    pp. 2854-2857

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (330 kB)  ]    Order
  • Hierarchical structure formation induced by dewetting in an imprinting process
    I. T. Pai, D. W. Chiou, M. H. Hon, and I. C. Leu
    pp. 2858-2861

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (328 kB)  ]    Order
  • Release force reduction in UV nanoimprint by mold orientation control and by gas environment
    Hiroshi Hiroshima
    pp. 2862-2865

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (432 kB)  ]    Order
  • Numerical study on bubble trapping in UV nanoimprint lithography
    Daisuke Morihara, Yoshinori Nagaoka, Hiroshi Hiroshima, and Yoshihiko Hirai
    pp. 2866-2868

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (277 kB)  ]    Order
  • Ultrathin fluorinated diamondlike carbon coating for nanoimprint lithography imprinters
    Ryan W. Fillman and Robert R. Krchnavek
    pp. 2869-2872

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (436 kB)  ]    Order
  • Double-anchoring fluorinated molecules for antiadhesion mold treatment in UV nanoimprint lithography
    M. Zelsmann, D. Truffier-Boutry, A. Francone, C. Alleaume, I. Kurt, A. Beaurain, B. Pelissier, B. Pépin-Donat, C. Lombard, and J. Boussey
    pp. 2873-2876

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (315 kB)  ]    Order
  • Nanoimprint planarization of high aspect ratio nanostructures using inorganic and organic resist materials
    Allan S. P. Chang, Christophe Peroz, Xiaogan Liang, Scott Dhuey, Bruce Harteneck, and Stefano Cabrini
    pp. 2877-2881

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (828 kB)  ]    Order
  • Recovery prevention via pressure control in thermal nanoimprint lithography
    Hella-Christin Scheer, Nicolas Bogdanski, Saskia Möllenbeck, and Andre Mayer
    pp. 2882-2887

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (427 kB)  ]    Order

  • Extreme Ultraviolet Lithography
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Comparison of fast three-dimensional simulation and actinic inspection for extreme ultraviolet masks with buried defects and absorber features
    Chris H. Clifford, Sandy Wiraatmadja, Tina T. Chan, Andrew R. Neureuther, Kenneth A. Goldberg, Iacopo Mochi, and Ted Liang
    pp. 2888-2893

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (580 kB)  ]    Order
  • Low energy Ar+ ion beam machining of Si thin layer deposited on a Zerodur® substrate for extreme ultraviolet lithography projection optics
    T. Iwata, K. Fujiwara, S. A. Pahlovy, and I. Miyamoto
    pp. 2894-2899

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (932 kB)  ]    Order
  • Two stage ion beam figuring and smoothening method for shape error correction of ULE® substrates of extreme ultraviolet lithography projection optics: Evaluation of high-spatial frequency roughness
    Kazuma Kamijo, Ryou Uozumi, Kenta Moriziri, S. A. Pahlovy, and Iwao Miyamoto
    pp. 2900-2904

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (516 kB)  ]    Order
  • Experimental determination of image placement accuracy in extreme ultraviolet lithography
    Sudhar Raghunathan, Obert Wood, Pradeep Vukkadala, Roxann Engelstad, and John G. Hartley
    pp. 2905-2910

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (669 kB)  ]    Order
  • Pushing extreme ultraviolet lithography development beyond 22 nm half pitch
    Patrick P. Naulleau, Christopher N. Anderson, Lorie-Mae Baclea-an, Paul Denham, Simi George, Kenneth A. Goldberg, Michael Goldstein, Brian Hoef, Gideon Jones, Chawon Koh, Bruno La Fontaine, Warren Montgomery, and Tom Wallow
    pp. 2911-2915

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (773 kB)  ]    Order
  • EUV pattern defect detection sensitivity based on aerial image linewidth measurements
    K. A. Goldberg, I. Mochi, P. Naulleau, T. Liang, P.-Y. Yan, and S. Huh
    pp. 2916-2921

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (456 kB)  ]    Order
  • Improvement of imaging properties by optimizing the capping structure in extreme ultraviolet lithography
    Chang Young Jeong, Sangsul Lee, Hyun-Duck Shin, Tae Geun Kim, and Jinho Ahn
    pp. 2922-2926

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1099 kB)  ]    Order
  • Iterative procedure for in situ extreme ultraviolet optical testing with an incoherent source
    Ryan Miyakawa, Patrick Naulleau, and Avideh Zakhor
    pp. 2927-2930

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (255 kB)  ]    Order
  • Talbot lithography: Self-imaging of complex structures
    A. Isoyan, F. Jiang, Y. C. Cheng, F. Cerrina, P. Wachulak, L. Urbanski, J. Rocca, C. Menoni, and M. Marconi
    pp. 2931-2937

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (905 kB)  ]    Order

  • Optical Lithography
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Characterization of pattern-placement error for sub-40-nm memory devices
    Jangho Shin, Dongho Cha, Jeongho Yeo, Hochul Kim, Seong-Woon Choi, and Chan-Hoon Park
    pp. 2938-2940

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (357 kB)  ]    Order
  • Influence of polarization on absorbance modulated subwavelength grating structures
    J. E. Foulkes and R. J. Blaikie
    pp. 2941-2946

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (571 kB)  ]    Order
  • Coherent diffraction lithography: Periodic patterns via mask-based interference lithography
    Corey P. Fucetola, Amil A. Patel, Euclid E. Moon, Thomas B. O'Reilly, and Henry I. Smith
    pp. 2947-2950

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (320 kB)  ]    Order
  • Stitching periodic submicron fringes by utilizing step-and-align interference lithography
    Yung-Pin Chen, Cheng-Hung Chen, Jer-Haur Chang, Hsin-Chieh Chiu, Guan-Yu Chen, Chieh-Hsiu Chiang, Lien-Sheng Chen, Ching-Tung Tseng, Chih-Hsien Lee, Jia-Yush Yen, and Lon A. Wang
    pp. 2951-2957

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (904 kB)  ]    Order
  • Low-cost interference lithography
    Corey P. Fucetola, Hasan Korre, and Karl K. Berggren
    pp. 2958-2961

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (190 kB)  ]    Order

  • Modelling Lithographic Exposure
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Design specific variation in via/contact pattern transfer: Full chip analysis
    Jun-Ho Choy, Valeriy Sukharev, Ara Markosian, Armen Kteyan, Yuri Granik, and Vladimir Bliznetsov
    pp. 2962-2971

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (736 kB)  ]    Order
  • Model based optical proximity correction runtime saving with multisegment solver
    Jianliang Li, Xiaohai Li, Steven Deeth, Robert Lugg, and Lawrence S. Melvin, III
    pp. 2972-2978

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (766 kB)  ]    Order
  • Low-roughness active microdisk resonators fabricated by focused ion beam
    L. A. M. Barea, F. Vallini, A. R. Vaz, J. R. Mialichi, and N. C. Frateschi
    pp. 2979-2981

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (353 kB)  ]    Order
  • Electron beam induced deposition of cobalt for use as single- and multiwalled carbon nanotube growth catalyst
    M. H. Ervin and B. M. Nichols
    pp. 2982-2985

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (332 kB)  ]    Order
  • NANOFABRICATION MATERIALS


    Resists
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Alternative developer solutions for extreme ultraviolet resist
    Toshiro Itani and Julius Joseph Santillan
    pp. 2986-2989

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (633 kB)  ]    Order
  • Thermal development of a calixarene resist
    V. Auzelyte, A. Langner, and H. H. Solak
    pp. 2990-2992

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (451 kB)  ]    Order
  • Control of the critical dimensions and line edge roughness with pre-organized block copolymer pixelated photoresists
    Huiman Kang, Yun Jun Kim, Padma Gopalan, and Paul F. Nealey
    pp. 2993-2997

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (406 kB)  ]    Order
  • Negative tone molecular resists using cationic polymerization: Comparison of epoxide and oxetane functional groups
    Richard A. Lawson, David E. Noga, Todd R. Younkin, Laren M. Tolbert, and Clifford L. Henderson
    pp. 2998-3003

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (392 kB)  ]    Order
  • Bond contribution model for the prediction of glass transition temperature in polyphenol molecular glass resists
    Richard A. Lawson, Wei-Ming Yeh, and Clifford L. Henderson
    pp. 3004-3009

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (148 kB)  ]    Order
  • Investigation of surface roughness of poly(methylmethacrylate) developed at reduced temperatures
    M. Yan, S. Choi, J. Lee, K. R. V. Subramanian, and I. Adesida
    pp. 3010-3013

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (701 kB)  ]    Order
  • Defectivity issues in topcoatless photoresists
    Jason Cantone, Youri van Dommelen, Aiqin Jiang, Shannon Dunn, Tom Winter, Karen Petrillo, Rick Johnson, Peggy Lawson, Will Conley, and Ryan Callahan
    pp. 3014-3019

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (781 kB)  ]    Order
  • Submillisecond post-exposure bake of chemically amplified resists by CO2 laser spike annealing
    Jing Sha, Byungki Jung, Michael O. Thompson, Christopher K. Ober, Manish Chandhok, and Todd R. Younkin
    pp. 3020-3024

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (534 kB)  ]    Order

  • Directed Assembly on Patterned Substrate
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Curing process of silsesquioxane in self-organized diblock copolymer template
    Naoko Kihara, Kazutaka Takizawa, Ryosuke Yamamoto, Hiroki Tanaka, and Hiroyuki Hieda
    pp. 3025-3030

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (870 kB)  ]    Order
  • Lithographically directed surface modification
    Richard P. Kingsborough, Russell B. Goodman, and Theodore H. Fedynyshyn
    pp. 3031-3037

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (739 kB)  ]    Order
  • Modification of a polystyrene brush layer by insertion of poly(methyl methacrylate) molecules
    Guoliang Liu, Shengxiang Ji, Karl O. Stuen, Gordon S. W. Craig, Paul F. Nealey, and F. J. Himpsel
    pp. 3038-3042

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (377 kB)  ]    Order
  • Focused ion beam-assisted bending of silicon nanowires for complex three dimensional structures
    Kimin Jun, Jaebum Joo, and Joseph M. Jacobson
    pp. 3043-3047

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (307 kB)  ]    Order
  • Positioning Pd catalyst particles for carbon nanotube growth using charge patterns created with a scanning electron microscope
    A. C. Zonnevylle, C. W. Hagen, P. Kruit, M. Valenti, and A. Schmidt-Ott
    pp. 3048-3050

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (648 kB)  ]    Order
  • Conductive atomic force microscopy study of self-assembled silicon nanostructures
    M. R. Bari, R. J. Blaikie, F. Fang, and A. Markwitz
    pp. 3051-3054

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (298 kB)  ]    Order
  • Embedded vertical nanosheets of SiO2 in PDMS using an alternative nanopatterning process
    L. Jalabert, C. Bottier, M. Kumemura, and H. Fujita
    pp. 3055-3058

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (644 kB)  ]    Order

  • Graphene
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Direct e-beam writing of 1  nm thin carbon nanoribbons
    C. T. Nottbohm, A. Turchanin, A. Beyer, and A. Gölzhäuser
    pp. 3059-3062

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (382 kB)  ]    Order
  • Graphitization at interface between amorphous carbon and liquid gallium for fabricating large area graphene sheets
    Jun-ichi Fujita, Ryuuichi Ueki, Yousuke Miyazawa, and Toshinari Ichihashi
    pp. 3063-3066

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (478 kB)  ]    Order

  • Energy Storage
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Process and properties of the carbon nanotube assisted LiCoO2 thin-film battery electrode by pulsed laser deposition
    An-Ya Lo, Chuan-Shu Sun, Wen-Shou Tseng, and Cheng-Tzu Kuo
    pp. 3067-3072

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (886 kB)  ]    Order
  • Solid-state dye-sensitized solar cell based on semiconducting nanomaterials
    Tao-Hua Lee, Dazhi Sun, Xi Zhang, Hung-Jue Sue, and Xing Cheng
    pp. 3073-3077

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (451 kB)  ]    Order
  • APPLICATIONS


    Nanobiology
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Preparation of diamond-shaped channels in SU-8 for optical control of the filling state
    S. Möllenbeck, N. Bogdanski, A. Mayer, H.-C. Scheer, J. Zajadacz, and K. Zimmer
    pp. 3078-3081

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (404 kB)  ]    Order
  • In situ synthesis and direct immobilization of ssDNA on electron beam patterned hydrogen silsesquioxane
    Omar D. Negrete, M. Sendar Onses, Paul F. Nealy, and Franco Cerrina
    pp. 3082-3087

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (465 kB)  ]    Order
  • Gold-tipped elastomeric pillars for cellular mechanotransduction
    S. Ghassemi, O. Rossier, M. P. Sheetz, S. J. Wind, and J. Hone
    pp. 3088-3091

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (316 kB)  ]    Order
  • Gallium phosphide nanowire arrays and their possible application in cellular force investigations
    Dmitry B. Suyatin, Waldemar Hällström, Lars Samuelson, Lars Montelius, Christelle N. Prinz, and Martin Kanje
    pp. 3092-3094

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (485 kB)  ]    Order
  • Fabrication of nanoscale “curtain rods” for DNA curtains using nanoimprint lithography
    T. A. Fazio, M. Visnapuu, E. C. Greene, and S. J. Wind
    pp. 3095-3098

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (241 kB)  ]    Order
  • Electrical detection of proteins and DNA using bioactivated microfluidic channels: Theoretical and experimental considerations
    M. Javanmard, H. Esfandyarpour, F. Pease, and R. W. Davis
    pp. 3099-3103

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (369 kB)  ]    Order
  • Fabrication methods for creating flexible polymer substrate sensor tags
    Harvey Ho and Jack L. Skinner
    pp. 3104-3108

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (467 kB)  ]    Order

  • Nano-Mems
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Electrothermal actuation of silicon carbide ring resonators
    Enrico Mastropaolo, Rebecca Cheung, Anne Henry, and Erik Janzén
    pp. 3109-3114

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (648 kB)  ]    Order
  • Lateral-flow particle filtration and separation with multilayer microfluidic channels
    Hyun Chul Kim, Jaewon Park, Younghak Cho, Hyunsoo Park, Arum Han, and Xing Cheng
    pp. 3115-3119

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (715 kB)  ]    Order
  • Real-time detection of airborne dust particles using paddle-type silicon cantilevers
    Bonghyun Park, Jiseok Hong, and Seung-Beck Lee
    pp. 3120-3124

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (328 kB)  ]    Order
  • Nanofabrication of sharp diamond tips by e-beam lithography and inductively coupled plasma reactive ion etching
    Nicolaie Moldovan, Ralu Divan, Hongjun Zeng, and John A. Carlisle
    pp. 3125-3131

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (762 kB)  ]    Order
  • Parallel proximal probe arrays with vertical interconnections
    Y. Sarov, A. Frank, Tzv. Ivanov, J.-P. Zöllner, K. Ivanova, B. Volland, I. W. Rangelow, A. Brogan, R. Wilson, P. Zawierucha, M. Zielony, T. Gotszalk, N. Nikolov, M. Zier, B. Schmidt et al.
    pp. 3132-3138

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (888 kB)  ]    Order
  • Electrical and mechanical properties of carbon nanotube-polyimide composites
    D. Thuau, V. Koutsos, and R. Cheung
    pp. 3139-3144

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (458 kB)  ]    Order

  • Nanoelectronics
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Void-free filling of spin-on dielectric in 22  nm wide ultrahigh aspect ratio Si trenches
    Krutarth Trivedi, Carlo Floresca, Sangjeoung Kim, Hyunjin Kim, Deogbae Kim, Jaehyun Kim, Moon J. Kim, and Walter Hu
    pp. 3145-3148

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (429 kB)  ]    Order
  • Nanostructuring of epitaxial graphene layers on SiC by means of field-induced atomic force microscopy modification
    G. Rius, N. Camara, P. Godignon, F. Pérez-Murano, and N. Mestres
    pp. 3149-3152

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (506 kB)  ]    Order
  • Fully self-aligned process for fabricating 100  nm gate length enhancement mode GaAs metal-oxide-semiconductor field-effect transistors
    Xu Li, Richard J. W. Hill, Paolo Longo, Martin C. Holland, Haiping Zhou, Stephen Thoms, Douglas S. Macintyre, and Iain G. Thayne
    pp. 3153-3157

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (497 kB)  ]    Order
  • Effects of visible light illumination on the conductance of Al/AlOx single-electron transistors
    Hubert C. George, Alexei O. Orlov, Robin A. Joyce, Yong Tang, and Gregory L. Snider
    pp. 3158-3163

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (478 kB)  ]    Order
  • Fabrication of nanoscale ZnO field effect transistors using the functional precursor zinc neodecanoate directly as a negative electron beam lithography resist
    G. A. C. Jones, G. Xiong, and D. Anderson
    pp. 3164-3168

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (493 kB)  ]    Order

  • Nanophotonics
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Perturbed frequency-selective surfaces fabricated on large thin polymer membranes for multiband infrared applications
    Juan-José Sanz-Fernández, George Goussetis, and Rebecca Cheung
    pp. 3169-3174

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (708 kB)  ]    Order
  • Subwavelength grating structures with magnetic resonances at visible frequencies fabricated by nanoimprint lithography for large area applications
    Alex F. Kaplan, Yi-Hao Chen, Myung-Gyu Kang, L. Jay Guo, Ting Xu, and Xiangang Luo
    pp. 3175-3179

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (444 kB)  ]    Order
  • Advanced silicon processing for active planar photonic devices
    Michael Shearn, Kenneth Diest, Xiankai Sun, Avi Zadok, Harry Atwater, Amnon Yariv, and Axel Scherer
    pp. 3180-3182

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (260 kB)  ]    Order
  • Diameter-dependent guided resonance of dielectric hole-array membrane
    Neil Ou, J. H. Shyu, H. M. Lee, and J. C. Wu
    pp. 3183-3186

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (412 kB)  ]    Order
  • Fabrication of novel digital optical spectrometer on chip
    S. Babin, C. Peroz, A. Bugrov, A. Goltsov, I. Ivonin, V. Yankov, S. Dhuey, S. Cabrini, E.-B. Kley, and H. Schmidt
    pp. 3187-3191

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (974 kB)  ]    Order
  • NANOMETROLOGY


    Nanometrology
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Iterative phase recovery using wavelet domain constraints
    Leili Baghaei, Ali Rad, Bing Dai, Piero Pianetta, Jianwei Miao, and R. Fabian W. Pease
    pp. 3192-3195

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (417 kB)  ]    Order
  • On the influence of the sputtering in determining the resolution of a scanning ion microscope
    V. Castaldo, C. W. Hagen, P. Kruit, E. van Veldhoven, and D. Maas
    pp. 3196-3202

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (869 kB)  ]    Order
  • Mask observation results using a coherent extreme ultraviolet scattering microscope at NewSUBARU
    Tetsuo Harada, Junki Kishimoto, Takeo Watanabe, Hiroo Kinoshita, and Dong Gun Lee
    pp. 3203-3207

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (463 kB)  ]    Order
  • Inspection method for contact/via-holes using a low-energy electron microcolumn
    Y. C. Kim, D. W. Kim, S. Ahn, T. S. Oh, J. B. Kim, Y. S. Roh, D. G. Hasko, and H. S. Kim
    pp. 3208-3212

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (642 kB)  ]    Order
  • Development of pseudorandom binary arrays for calibration of surface profile metrology tools
    Samuel K. Barber, Paul Soldate, Erik H. Anderson, Rossana Cambie, Wayne R. McKinney, Peter Z. Takacs, Dmytro L. Voronov, and Valeriy V. Yashchuk
    pp. 3213-3219

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1290 kB)  ]    Order
  • Automatic measurement of electron beam size by beam metrology technique using 20  nm test pattern
    C. Peroz, S. Babin, M. Machin, E. Anderson, S. Cabrini, S. Dhuey, and B. Harteneck
    pp. 3220-3225

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (768 kB)  ]    Order
  • Toroidal spectrometer for signal detection in scanning ion/electron microscopes
    H. Q. Hoang and A. Khursheed
    pp. 3226-3231

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (777 kB)  ]    Order
  • Real time scatterometry for profile control during resist trimming process
    M. El Kodadi, S. Soulan, M. Besacier, and P. Schiavone
    pp. 3232-3237

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (629 kB)  ]    Order
  • Grazing incident small angle x-ray scattering: A metrology to probe nanopatterned surfaces
    T. Hofmann, E. Dobisz, and B. M. Ocko
    pp. 3238-3243

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (366 kB)  ]    Order
  • Subsurface damage from helium ions as a function of dose, beam energy, and dose rate
    Richard Livengood, Shida Tan, Yuval Greenzweig, John Notte, and Shawn McVey
    pp. 3244-3249

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (992 kB)  ]    Order
  • Understanding imaging modes in the helium ion microscope
    Larry Scipioni, Colin A. Sanford, John Notte, Bill Thompson, and Shawn McVey
    pp. 3250-3255

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (715 kB)  ]    Order
  • Design of a multiple-electron-beam imaging technique for surface inspection
    T. Luo, A. Khursheed, M. Osterberg, and H. Hoang
    pp. 3256-3260

    Abstract    Full Text: [ HTML Sectioned HTML   PDF (663 kB)  ]    Order
  • [ Previous / Issue | Available Volumes | Issue Index | Top of Page ]