Sedemos News

lunes, 9 de febrero de 2009

Table of Contents Alert for Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures


Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures -- January 2009

Volume 27, Issue 1 , pp. 1-550

[ Previous Issue | Available Volumes | Issue Index ]

  • Regular Articles
  • Brief Reports and Comments
  • Errata
  • PAPERS FROM THE 15TH WORKSHOP ON DIELECTRICS IN MICROELECTRONICS
  • Regular Articles

  • Thick membrane operated rf microelectromechanical system switch with low actuation voltage
    Jongseok Kim, Sangwook Kwon, Youngtack Hong, Heemoon Jeong, Insang Song, and Byeongkwon Ju
    pp. 1-5
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1013 kB)  ]    Order
  • Deprotection blur in extreme ultraviolet photoresists: Influence of base loading and post-exposure bake temperature
    Christopher N. Anderson and Patrick P. Naulleau
    pp. 6-10
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (490 kB)  ]    Order
  • Thermal infrared detection using dipole antenna-coupled metal-oxide-metal diodes
    Jeffrey A. Bean, Badri Tiwari, Gary H. Bernstein, P. Fay, and Wolfgang Porod
    pp. 11-14
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (400 kB)  ]    Order
  • Development of a vacuum packaged nanodiamond lateral field emission device
    K. Subramanian, R. Schroeder, W. P. Kang, and J. L. Davidson
    pp. 15-18
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (528 kB)  ]    Order
  • Duplication of nanoimprint templates by a novel SU-8/SiO2/PMMA trilayer technique
    Jing Wan, Zhen Shu, Shao-Ren Deng, Shen-Qi Xie, Bing-Rui Lu, Ran Liu, Yifang Chen, and Xin-Ping Qu
    pp. 19-22
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (358 kB)  ]    Order
  • A silicon-germanium W-structure photodiode for near-infrared detection
    Dyan Ali, Phillip Thompson, Joseph DiPasquale, III, and Christopher J. K. Richardson
    pp. 23-27
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (553 kB)  ]    Order
  • Lift-off and hybrid applications with ma-n 1405 negative-tone resist
    A. Aassime and V. Mathet
    pp. 28-32
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (843 kB)  ]    Order
  • Dependence of etch rates of silicon substrates on the use of C4F8 and C4F6 plasmas in the deposition step of the Bosch process
    Hyongmoo Rhee, Hae Min Lee, Yun Mi Namkoung, Chang-Koo Kim, Heeyeop Chae, and Yil Wook Kim
    pp. 33-40
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (647 kB)  ]    Order
  • Field-emission properties of carbon nanotubes grown using Cu–Cr catalysts
    Zhejuan Zhang, Daniel H. C. Chua, Yang Gao, Yanping Zhang, Zhe Tang, Beng Kang Tay, Tao Feng, Zhuo Sun, and Yiwei Chen
    pp. 41-46
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (419 kB)  ]    Order
  • Detailed characterization of hydrogen silsesquioxane for e-beam applications in a dynamic random access memory pilot line environment
    K. Keil, K.-H. Choi, C. Hohle, J. Kretz, L. Szikszai, and J.-W. Bartha
    pp. 47-51
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (381 kB)  ]    Order
  • Some peculiarities of resist-profile simulation for positive-tone chemically amplified resists in electron-beam lithography
    K. Vutova, E. Koleva, G. Mladenov, and I. Kostic
    pp. 52-57
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (309 kB)  ]    Order
  • Absorber stack with transparent conductive oxide layer for extreme ultraviolet lithography
    Hee Young Kang and Chang Kwon Hwangbo
    pp. 58-60
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (330 kB)  ]    Order
  • Fabrication of nanoscale bioarrays for the study of cytoskeletal protein binding interactions using nanoimprint lithography
    M. Schvartzman, K. Nguyen, M. Palma, J. Abramson, J. Sable, J. Hone, M. P. Sheetz, and S. J. Wind
    pp. 61-65
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (390 kB)  ]    Order
  • Latest results from the SEMATECH Berkeley extreme ultraviolet microfield exposure tool
    Patrick P. Naulleau, Christopher N. Anderson, Jerrin Chiu, Kim Dean, Paul Denham, Simi George, Kenneth A. Goldberg, Brian Hoef, Gideon Jones, Chawon Koh, Bruno La Fontaine, Andy Ma, Warren Montgomery, Dimitra Niakoula, Joo-on Park et al.
    pp. 66-70
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (657 kB)  ]    Order
  • Study of interface degradation of Hf-silicate gate dielectrics during thermal nitridation process
    S. Y. Son, J. H. Jang, P. Kumar, R. K. Singh, J. H. Yuh, H. Cho, and C. J. Kang
    pp. 71-75
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (457 kB)  ]    Order
  • Self-assembly solder process to form three-dimensional structures on silicon
    M. Rao, J. C. Lusth, and S. L. Burkett
    pp. 76-80
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (612 kB)  ]    Order
  • Ti0.94Fe0.06O2/Ti0.94Mn0.06O2 superlattice films deposited on atomic-scale flattened sapphire substrates for dilute magnetic semiconductor applications
    Nak-Jin Seong, Juan Jiang, and Soon-Gil Yoon
    pp. 81-84
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (466 kB)  ]    Order
  • Forbidden pitch improvement using modified illumination in lithography
    M. L. Ling, C. J. Tay, C. Quan, G. S. Chua, and Q. Lin
    pp. 85-91
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (708 kB)  ]    Order
  • Plasma-surface interactions of advanced photoresists with C4F8/Ar discharges: Plasma parameter dependencies
    S. Engelmann, R. L. Bruce, M. Sumiya, T. Kwon, R. Phaneuf, G. S. Oehrlein, C. Andes, D. Graves, D. Nest, and E. A. Hudson
    pp. 92-106
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1704 kB)  ]    Order
  • Band bending and adsorption/desorption kinetics on N-polar GaN surfaces
    Soojeong Choi, Tong-Ho Kim, Pae Wu, April Brown, Henry O. Everitt, Maria Losurdo, and Giovanni Bruno
    pp. 107-112
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (696 kB)  ]    Order
  • Plasma reactor dry cleaning strategy after TaC, MoN, WSi, W, and WN etching processes
    R. Ramos, G. Cunge, O. Joubert, and T. Lill
    pp. 113-121
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1006 kB)  ]    Order
  • Transformation of polycrystalline tungsten to monocrystalline tungsten W(100) and its potential application in Schottky emitters
    A. K. Dokania, Ruud Hendrikx, and P. Kruit
    pp. 122-125
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (843 kB)  ]    Order
  • Interface dependent electrical properties of amorphous InGaZnO4 thin film transistors
    Wantae Lim, Jung Hun Jang, S.-H. Kim, D. P. Norton, V. Craciun, S. J. Pearton, F. Ren, and H. Chen
    pp. 126-129
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (443 kB)  ]    Order
  • Five-element circuit model using linear-regression method to correct the admittance measurement of metal-oxide-semiconductor capacitor
    Chao-Ching Cheng, Chao-Hsin Chien, Guang-Li Luo, Jun-Cheng Liu, Yi-Cheng Chen, Yao-Feng Chang, Shin-Yuan Wang, Chi-Chung Kei, Chien-Nan Hsiao, and Chun-Yen Chang
    pp. 130-133
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (489 kB)  ]    Order
  • Metal nanowire fabrication by force microscopy lithography using amorphous arsenic sulfide resist layer
    H. D. Fonseca Filho, R. Prioli, and M. H. P. Maurício
    pp. 134-138
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (470 kB)  ]    Order
  • Electron optics of skewed micro-Einzel lenses
    M. J. van Bruggen, B. van Someren, and P. Kruit
    pp. 139-147
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (753 kB)  ]    Order
  • Synthesis, structural and magnetic properties of epitaxial MgFe2O4 thin films by molecular beam epitaxy
    J. Cheng, V. K. Lazarov, G. E. Sterbinsky, and B. W. Wessels
    pp. 148-151
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (354 kB)  ]    Order
  • Near field emission scanning electron microscopy
    T. L. Kirk, U. Ramsperger, and D. Pescia
    pp. 152-155
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (271 kB)  ]    Order
  • SiOx-planarized and transistor outlook-packaged oxide-confined vertical-cavity surface-emitting lasers with ring-shape geometry for high-speed (10  Gb/s) operation
    Chia-Lung Tsai, Jia-Qing Lin, Feng-Ming Lee, Yi-Lun Chou, and Meng-Chyi Wu
    pp. 156-160
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (585 kB)  ]    Order
  • REBL: A novel approach to high speed maskless electron beam direct write lithography
    Paul Petric, Chris Bevis, Allen Carroll, Henry Percy, Marek Zywno, Keith Standiford, Alan Brodie, Noah Bareket, and Luca Grella
    pp. 161-166
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (702 kB)  ]    Order
  • Mitigation of microloading effect in nanoimprint mask fabrication
    Raghunath Murali
    pp. 167-168
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (257 kB)  ]    Order
  • Computational and experimental studies of phase separation in pentacene:C60 mixtures
    Ying Zheng, Sharon K. Pregler, Jason D. Myers, Jiaomin Ouyang, Susan B. Sinnott, and Jiangeng Xue
    pp. 169-179
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (2029 kB)  ]    Order
  • Stable tungsten disilicide contacts for surface and thin film resistivity measurements
    G. Jnawali, F.-J. Meyer zu Heringdorf, D. Wall, S. Sindermann, and M. Horn-von Hoegen
    pp. 180-183
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (311 kB)  ]    Order
  • DNA directed assembly of nanoparticle linear structure for nanophotonics
    Baoquan Ding, Stefano Cabrini, Ronald N. Zuckermann, and Jeffrey Bokor
    pp. 184-187
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (525 kB)  ]    Order
  • Two-step resist-development process of hydrogen silsesquioxane for high-density electron-beam nanopatterning
    Hyo-Sung Lee, Jung-Sub Wi, Sung-Wook Nam, Hyun-Mi Kim, and Ki-Bum Kim
    pp. 188-192
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (524 kB)  ]    Order
  • Brief Reports and Comments

  • Electrical properties of nanotip-assisted microplasma devices
    Sung-O. Kim, Yang-Suk Ko, and Hal-Bon Gu
    pp. 193-197
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (663 kB)  ]    Order
  • Errata

  • Erratum: “Synthesis of nitrogen passivated rare-earth doped hafnia thin films and high temperature electrochemical conduction studies” [J. Vac. Sci. Technol. B 26, L33 (2008)]
    Shriram Ramanathan, Annamalai Karthikeyan, S. A. Govindarajan, and Paul D. Kirsh
    p. 198
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (32 kB)  ]    Order
  • Erratum: “Thermal conductivity of B–C–N and BN nanotubes” [J. Vac. Sci. Technol. B 23, 1883 (2005)]
    C. W. Chang, W. Q. Han, and Alex Zettl
    p. 199
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (28 kB)  ]    Order
  • PAPERS FROM THE 15TH WORKSHOP ON DIELECTRICS IN MICROELECTRONICS

  • Alternative high-k dielectrics for semiconductor applications
    S. Van Elshocht, C. Adelmann, S. Clima, G. Pourtois, T. Conard, A. Delabie, A. Franquet, P. Lehnen, J. Meersschaut, N. Menou, M. Popovici, O. Richard, T. Schram, X. P. Wang, A. Hardy et al.
    pp. 209-213
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (312 kB)  ]    Order
  • Enhancement mode GaAs metal-oxide-semiconductor field-effect-transistor integrated with thin AlN surface passivation layer and silicon/phosphorus coimplanted source/drain
    Fei Gao, S. J. Lee, and D. L. Kwong
    pp. 214-217
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (283 kB)  ]    Order
  • InAlN/GaN metal-oxide-semiconductor high electron mobility transistor with Al2O3 insulating films grown by metal organic chemical vapor deposition using Ar and NH3 carrier gases
    K. Čičo, J. Kuzmík, J. Liday, K. Hušeková, G. Pozzovivo, J.-F. Carlin, N. Grandjean, D. Pogany, P. Vogrinčič, and K. Fröhlich
    pp. 218-222
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (418 kB)  ]    Order
  • Ammonia-free deposition of silicon nitride films using pulsed-plasma chemical vapor deposition under near atmospheric pressure
    M. Matsumoto, Y. Inayoshi, S. Murashige, M. Suemitsu, S. Nakajima, T. Uehara, and Y. Toyoshima
    pp. 223-225
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (320 kB)  ]    Order
  • Behavior of zirconium oxide films processed from novel monocyclopentadienyl precursors by atomic layer deposition
    Kaupo Kukli, Jaakko Niinistö, Aile Tamm, Mikko Ritala, and Markku Leskelä
    pp. 226-229
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (607 kB)  ]    Order
  • Effect of annealing and electrical properties of high-kappa thin films grown by atomic layer deposition using carboxylic acids as oxygen source
    E. Rauwel, F. Ducroquet, P. Rauwel, M.-G. Willinger, I. Matko, and N. Pinna
    pp. 230-235
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (275 kB)  ]    Order
  • Study of metal oxide-semiconductor capacitors with rf magnetron sputtering TiOx and TiOxNy gate dielectric layer
    K. F. Albertin and I. Pereyra
    pp. 236-245
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1080 kB)  ]    Order
  • Metal-oxide-semiconductor devices on p-type Ge with La2O3 and ZrO2/La2O3 as gate dielectric and the effect of postmetallization anneal
    S. F. Galata, G. Mavrou, P. Tsipas, A. Sotiropoulos, Y. Panayiotatos, and A. Dimoulas
    pp. 246-248
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (372 kB)  ]    Order
  • Gd silicate: A high-k dielectric compatible with high temperature annealing
    H. D. B. Gottlob, A. Stefani, M. Schmidt, M. C. Lemme, H. Kurz, I. Z. Mitrovic, M. Werner, W. M. Davey, S. Hall, P. R. Chalker, K. Cherkaoui, P. K. Hurley, J. Piscator, O. Engström, and S. B. Newcomb
    pp. 249-252
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (213 kB)  ]    Order
  • Optimization of the AlON buffer layer for PrXOY/Si stacks
    K. Henkel, Y. Burkov, K. Karavaev, M. Torche, C. Schwiertz, and D. Schmeißer
    pp. 253-257
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (531 kB)  ]    Order
  • Complementary metal oxide semiconductor integration of epitaxial Gd2O3
    M. C. Lemme, H. D. B. Gottlob, T. J. Echtermeyer, M. Schmidt, H. Kurz, R. Endres, U. Schwalke, M. Czernohorkky, D. Tetzlaff, and H. J. Osten
    pp. 258-261
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (376 kB)  ]    Order
  • Structure dependence of epitaxial Pr2O3/Si(001) on oxygen pressure during growth
    Tatsuro Watahiki, Wolfgang Braun, and Henning Riechert
    pp. 262-265
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (408 kB)  ]    Order
  • Epitaxial growth of high-kappa TiO2 rutile films on RuO2 electrodes
    K. Fröhlich, J. Aarik, M. Tapajna, A. Rosová, A. Aidla, E. Dobročka, and K. Hušková
    pp. 266-270
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (386 kB)  ]    Order
  • On the band gaps and electronic structure of thin single crystalline praseodymium oxide layers on Si(111)
    O. Seifarth, J. Dabrowski, P. Zaumseil, S. Müller, D. Schmeißer, H.-J. Müssig, and T. Schroeder
    pp. 271-276
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (381 kB)  ]    Order
  • Band offsets and work function control in field effect transistors
    John Robertson
    pp. 277-285
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (974 kB)  ]    Order
  • Influence of the electrode material on HfO2 metal-insulator-metal capacitors
    Ch. Wenger, M. Lukosius, H.-J. Müssig, G. Ruhl, S. Pasko, and Ch. Lohe
    pp. 286-289
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (311 kB)  ]    Order
  • Effective work function engineering by lanthanide ion implantation of metal-oxide semiconductor gate stacks
    A. Fet, V. Häublein, A. J. Bauer, and H. Ryssel
    pp. 290-293
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (598 kB)  ]    Order
  • Predeposition plasma nitridation process applied to Ge substrates to passivate interfaces between crystalline-Ge substrates and Hf-based high-K dielectrics
    G. Lucovsky, J. P. Long, K.-B. Chung, H. Seo, B. Watts, R. Vasic, and M. D. Ulrich
    pp. 294-299
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (744 kB)  ]    Order
  • HfO2/Si interface formation in atomic layer deposition films: An in situ investigation
    Massimo Tallarida, Konstantin Karavaev, and Dieter Schmeisser
    pp. 300-304
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (391 kB)  ]    Order
  • Structure and defects of epitaxial Si(111) layers on Y2O3(111)/Si(111) support systems
    C. Borschel, C. Ronning, H. Hofsäss, A. Giussani, P. Zaumseil, Ch. Wenger, P. Storck, and T. Schroeder
    pp. 305-309
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (475 kB)  ]    Order
  • Identification of interfacial defects in high-k gate stack films by spectroscopic ellipsometry
    J. Price, G. Bersuker, and P. S. Lysaght
    pp. 310-312
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (310 kB)  ]    Order
  • Influence of Ar/O2 ratio on the electrical properties of metal-ferroelectric (BiFeO3)-insulator (HfO2)-semiconductor capacitors fabricated by rf magnetron sputtering
    Trevor Pi-Chun Juan, Jong-Hong Lu, and Ming-Wei Lu
    pp. 313-316
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (623 kB)  ]    Order
  • Electron paramagnetic resonance characterization of defects in HfO2 and ZrO2 powders and films
    R. C. Barklie and Sandra Wright
    pp. 317-320
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (326 kB)  ]    Order
  • Trap related dielectric absorption of HfSiO films in metal-insulator-semiconductor structures
    M. Kerber, C. Fachmann, J. Heitmann, S. Kudelka, U. Schröder, and H. Reisinger
    pp. 321-324
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (412 kB)  ]    Order
  • Hafnium oxide thin films: Effect of growth parameters on oxygen and hafnium vacancies
    E. Hildebrandt, J. Kurian, J. Zimmermann, A. Fleissner, H. von Seggern, and L. Alff
    pp. 325-328
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (172 kB)  ]    Order
  • Spatial distribution of electrically active defects in dual-layer (SiO2/HfO2) gate dielectric n-type metal oxide semiconductor field effect transistors
    T. Nguyen, A. Savio, L. Militaru, and C. Plossu
    pp. 329-332
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (103 kB)  ]    Order
  • Frequency dispersion and dielectric relaxation of La2Hf2O7
    C. Z. Zhao, S. Taylor, M. Werner, P. R. Chalker, J. M. Gaskell, and A. C. Jones
    pp. 333-337
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (456 kB)  ]    Order
  • Analytical modeling of tunneling current through SiO2–HfO2 stacks in metal oxide semiconductor structures
    J. Coignus, R. Clerc, C. Leroux, G. Reimbold, G. Ghibaudo, and F. Boulanger
    pp. 338-345
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (679 kB)  ]    Order
  • Analytical modeling of the tunneling probability through the double-layer gate stacks
    B. Majkusiak
    pp. 346-351
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (431 kB)  ]    Order
  • Leakage current effects on C-V plots of high-k metal-oxide-semiconductor capacitors
    Y. Lu, S. Hall, L. Z. Tan, I. Z. Mitrovic, W. M. Davey, B. Raeissi, O. Engström, K. Cherkaoui, S. Monaghan, P. K. Hurley, H. D. B. Gottlob, and M. C. Lemme
    pp. 352-355
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (263 kB)  ]    Order
  • Comparison of standard macroscopic and conductive atomic force microscopy leakage measurements on gate removed high-k capacitors
    W. Polspoel, W. Vandervorst, L. Aguilera, M. Porti, M. Nafria, and X. Aymerich
    pp. 356-359
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (634 kB)  ]    Order
  • Development of a conductive atomic force microscope with a logarithmic current-to-voltage converter for the study of metal oxide semiconductor gate dielectrics reliability
    L. Aguilera, M. Lanza, A. Bayerl, M. Porti, M. Nafria, and X. Aymerich
    pp. 360-363
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (300 kB)  ]    Order
  • Correlation of microscopic and macroscopic electrical characteristics of high-k ZrSixO2−x thin films using tunneling atomic force microscopy
    W. Weinreich, L. Wilde, P. Kücher, M. Lemberger, V. Yanev, M. Rommel, A. J. Bauer, E. Erben, J. Heitmann, U. Schröder, and L. Oberbeck
    pp. 364-368
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (411 kB)  ]    Order
  • Electrical characteristics of metal-ferroelectric (BiFeO3)-insulator (Y2O3)-semiconductor capacitors and field-effect transistors
    Chih-Ming Lin, Wen-chieh Shih, and Joseph Ya-min Lee
    pp. 369-372
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (351 kB)  ]    Order
  • Electrical properties of amorphous barium titanate films sputter deposited under hydrogen containing atmosphere
    F. El Kamel, P. Gonon, A. Sylvestre, and C. Vallée
    pp. 373-377
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (387 kB)  ]    Order
  • Physicochemical and electrical characterizations of atomic layer deposition grown HfO2 on TiN and Pt for metal-insulator-metal application
    C. Jorel, C. Vallée, E. Gourvest, B. Pelissier, M. Kahn, M. Bonvalot, and P. Gonon
    pp. 378-383
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (431 kB)  ]    Order
  • Impact of a gamma-Al2O3(001) barrier on LaAlO3 metal-oxide-semiconductor capacitor electrical properties
    L. Becerra, C. Merckling, M. El-Kazzi, N. Baboux, B. Vilquin, G. Saint-Girons, C. Plossu, and G. Hollinger
    pp. 384-388
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (454 kB)  ]    Order
  • Electrical properties of thin zirconium and hafnium oxide high-k gate dielectrics grown by atomic layer deposition from cyclopentadienyl and ozone precursors
    S. Dueñas, H. Castán, H. Garcia, A. Gómez, L. Bailón, K. Kukli, J. Niinistö, M. Ritala, and M. Leskelä
    pp. 389-393
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (530 kB)  ]    Order
  • Different mechanism to explain the 1/f noise in n- and p-SOI-MOS transistors fabricated on (110) and (100) silicon-oriented wafers
    Philippe Gaubert, Akinobu Teramoto, Weitao Cheng, Tatsufumi Hamada, and Tadahiro Ohmi
    pp. 394-401
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (531 kB)  ]    Order
  • Low frequency noise analysis in HfO2/SiO2 gate oxide fully depleted silicon on insulator transistors
    L. Zafari, J. Jomaah, G. Ghibaudo, and O. Faynot
    pp. 402-405
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (355 kB)  ]    Order
  • Radiation damage on dielectrics: Single event effects
    Alessandro Paccagnella, Simone Gerardin, and Giorgio Cellere
    pp. 406-410
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (428 kB)  ]    Order
  • High-k materials and their response to gamma ray radiation
    C. Z. Zhao, S. Taylor, M. Werner, P. R. Chalker, R. J. Potter, J. M. Gaskell, and A. C. Jones
    pp. 411-415
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (417 kB)  ]    Order
  • Irradiation effect on dielectric properties of hafnium and gadolinium oxide gate dielectrics
    H. García, S. Dueñas, H. Castán, A. Gómez, L. Bailón, R. Barquero, K. Kukli, M. Ritala, and M. Leskelä
    pp. 416-420
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (387 kB)  ]    Order
  • Implanted and irradiated SiO2/Si structure electrical properties at the nanoscale
    M. Porti, N. Nafria, S. Gerardin, X. Aymerich, A. Cester, A. Paccagnella, and G. Ghidini
    pp. 421-425
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (287 kB)  ]    Order
  • Review on the reliability characterization of plasma-induced damage
    Andreas Martin
    pp. 426-434
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1424 kB)  ]    Order
  • Stress-induced leakage current and random telegraph signal
    Akinobu Teramoto, Yuki Kumagai, Kenichi Abe, Takafumi Fujisawa, Shunichi Watabe, Tomoyuki Suwa, Naoto Miyamoto, Shigetoshi Sugawa, and Tadahiro Ohmi
    pp. 435-438
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (698 kB)  ]    Order
  • Investigation of voltage dependent relaxation, charge trapping, and stress induced leakage current effects in HfO2/Dy2O3 gate stacks grown on Ge (100) substrates
    M. S. Rahman, E. K. Evangelou, I. I. Androulidakis, A. Dimoulas, G. Mavrou, and P. Tsipas
    pp. 439-442
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (299 kB)  ]    Order
  • Breakdown and degradation of ultrathin Hf-based (HfO2)x(SiO2)1−x gate oxide films
    H. J. Uppal, I. Z. Mitrovic, S. Hall, B. Hamilton, V. Markevich, and A. R. Peaker
    pp. 443-447
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (615 kB)  ]    Order
  • Impact of progressive oxide soft breakdown on metal oxide semiconductor parameters: Experiment and modeling
    L. Gerrer, G. Ribes, G. Ghibaudo, and J. Jomaah
    pp. 448-452
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (802 kB)  ]    Order
  • Progressive degradation of TiN/SiON and TiN/HfO2 gate stack triple gate SOI nFinFETs subjected to electrical stress
    J. M. Rafí, E. Simoen, A. Mercha, N. Collaert, F. Campabadal, and C. Claeys
    pp. 453-458
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (770 kB)  ]    Order
  • Investigation of Bias-Temperature Instability in work-function-tuned high-k/metal-gate stacks
    B. Kaczer, A. Veloso, Ph. J. Roussel, T. Grasser, and G. Groeseneken
    pp. 459-462
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (517 kB)  ]    Order
  • Impact of nitridation on recoverable and permanent negative bias temperature instability degradation in high-k/metal-gate p-type metal oxide semiconductor field effect transistors
    M. Aoulaiche, B. Kaczer, Ph. J. Roussel, R. O'Connor, M. Houssa, S. De Gendt, H. E. Maes, and G. Groeseneken
    pp. 463-467
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (563 kB)  ]    Order
  • Hot carrier degradation in HfSiON/TiN fin shaped field effect transistor with different substrate orientations
    Chadwin D. Young, Ji-Woon Yang, Kenneth Matthews, Sagar Suthram, Muhammad Mustafa Hussain, Gennadi Bersuker, Casey Smith, Rusty Harris, Rino Choi, Byoung Hun Lee, and Hsing-Huang Tseng
    pp. 468-471
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (716 kB)  ]    Order
  • SiO2 interfacial layer as the origin of the breakdown of high-k dielectrics stacks
    M. Rafik, G. Ribes, D. Roy, and G. Ghibaudd
    pp. 472-475
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (395 kB)  ]    Order
  • Al2O3–SiO2 stack with enhanced reliability
    M. Lisiansky, A. Fenigstein, A. Heiman, Y. Raskin, Y. Roizin, L. Bartholomew, J. Owyang, A. Gladkikh, R. Brener, I. Geppert, E. Lyakin, B. Meyler, Y. Shnieder, S. Yofis, and M. Eizenberg
    pp. 476-481
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (865 kB)  ]    Order
  • Suppression of parasitic electron injection in silicon-oxide-nitride-oxide-silicon-type memory cells using high-k capping layers
    T. Erlbacher, T. Graf, N. DasGupta, A. J. Bauer, and H. Ryssel
    pp. 482-485
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (403 kB)  ]    Order
  • Contact etch stop a-SixNy:H layer: A key factor for single polysilicon flash memory data retention
    G. Beylier, D. Benoit, P. Mora, S. Bruyère, and G. Ghibaudo
    pp. 486-489
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (336 kB)  ]    Order
  • On the impact of silicon nitride technology on charge trap NAND memories
    A. Sebastiani, C. Scozzari, A. Mauri, A. Modelli, G. Albini, R. Piagge, P. Bacciaglia, A. Del Vitto, M. Alessandri, A. Grossi, P. Tessariol, and G. Ghidini
    pp. 490-493
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (443 kB)  ]    Order
  • Application of plasma enhanced chemical vapor deposition silicon oxynitride layers in nonvolatile semiconductor memory devices
    Robert Mroczyński and Romuald B. Beck
    pp. 494-497
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (437 kB)  ]    Order
  • Ferroelectric nanostructures
    Ionela Vrejoiu, Marin Alexe, Dietrich Hesse, and Ulrich Gösele
    pp. 498-503
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (681 kB)  ]    Order
  • Electrical investigations on metal/ferroelectric/insulator/semiconductor structures using poly[vinylidene fluoride trifluoroethylene] as ferroelectric layer for organic nonvolatile memory applications
    K. Henkel, I. Lazareva, D. Mandal, I. Paloumpa, K. Müller, Y. Koval, P. Müller, and D. Schmeißer
    pp. 504-507
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (394 kB)  ]    Order
  • Interface states formation in a localized charge trapping nonvolatile memory device
    Asia Shapira, Yael Shur, Yosi Shacham-Diamand, Assaf Shappir, and Boaz Eitan
    pp. 508-511
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (189 kB)  ]    Order
  • Peculiar characteristics of nanocrystal memory cells programming window
    Alberto Gasperin, Esteve Amat, Javier Martin, Marc Porti, Montserrat Nafria, and Alessandro Paccagnella
    pp. 512-516
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (523 kB)  ]    Order
  • Low-k dielectrics for trench isolation in nanoscaled complementary metal oxide semiconductor imagers
    F. Irrera, G. Puzzilli, L. Ricci, F. Russo, and F. Stirpe
    pp. 517-520
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (357 kB)  ]    Order
  • Improved characterization of Fourier transform infrared spectra analysis for post-etched ultra-low-kappa SiOCH dielectric using chemometric methods
    Thomas Oszinda, Volkhard Beyer, Matthias Schaller, Daniel Fischer, Christin Bartsch, and Stefan E. Schulz
    pp. 521-526
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (680 kB)  ]    Order
  • Study on the characteristics of toluene-tetraethoxysilane hybrid plasma-polymer thin films
    S.-J. Cho, I.-S. Bae, S. Lee, D. Jung, W. S. Choi, and J.-H. Boo
    pp. 527-530
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (382 kB)  ]    Order
  • Photoluminescence from high-pressure-annealed silicon dioxide
    C. K. Wong, A. Misiuk, Hei Wong, and A. Panas
    pp. 531-534
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (514 kB)  ]    Order
  • Light emission and photoluminescence from high-k dielectrics containing Ge nanocrystals
    Shu-Tong Chang and Shu-Hui Liao
    pp. 535-537
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (337 kB)  ]    Order
  • Gas sensing materials based on TiO2 thin films
    Nicoleta Iftimie, D. Luca, Felicia Lacomi, Mihaela Girtan, and Diana Mardare
    pp. 538-541
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (241 kB)  ]    Order
  • Mechanical properties of anodic aluminum oxide for microelectromechanical system applications
    L. Moreno-Hagelsieb, D. Flandre, and J.-P. Raskin
    pp. 542-546
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (437 kB)  ]    Order
  • Initial oxidation of Si(110) as studied by real-time synchrotron-radiation x-ray photomission spectroscopy
    M. Suemitsu, Y. Yamamoto, H. Togashi, Y. Enta, A. Yoshigoe, and Y. Teraoka
    pp. 547-550
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (501 kB)  ]    Order
  • [ Previous / Issue | Available Volumes | Issue Index | Top of Page ]