| Thick membrane operated rf microelectromechanical system switch with low actuation voltage Jongseok Kim, Sangwook Kwon, Youngtack Hong, Heemoon Jeong, Insang Song, and Byeongkwon Ju pp. 1-5 Abstract Full Text: [ HTML Sectioned HTML PDF (1013 kB) ] Order | | | Deprotection blur in extreme ultraviolet photoresists: Influence of base loading and post-exposure bake temperature Christopher N. Anderson and Patrick P. Naulleau pp. 6-10 Abstract Full Text: [ HTML Sectioned HTML PDF (490 kB) ] Order | | | Thermal infrared detection using dipole antenna-coupled metal-oxide-metal diodes Jeffrey A. Bean, Badri Tiwari, Gary H. Bernstein, P. Fay, and Wolfgang Porod pp. 11-14 Abstract Full Text: [ HTML Sectioned HTML PDF (400 kB) ] Order | | | Development of a vacuum packaged nanodiamond lateral field emission device K. Subramanian, R. Schroeder, W. P. Kang, and J. L. Davidson pp. 15-18 Abstract Full Text: [ HTML Sectioned HTML PDF (528 kB) ] Order | | | Duplication of nanoimprint templates by a novel SU-8/SiO2/PMMA trilayer technique Jing Wan, Zhen Shu, Shao-Ren Deng, Shen-Qi Xie, Bing-Rui Lu, Ran Liu, Yifang Chen, and Xin-Ping Qu pp. 19-22 Abstract Full Text: [ HTML Sectioned HTML PDF (358 kB) ] Order | | | A silicon-germanium W-structure photodiode for near-infrared detection Dyan Ali, Phillip Thompson, Joseph DiPasquale, III, and Christopher J. K. Richardson pp. 23-27 Abstract Full Text: [ HTML Sectioned HTML PDF (553 kB) ] Order | | | Lift-off and hybrid applications with ma-n 1405 negative-tone resist A. Aassime and V. Mathet pp. 28-32 Abstract Full Text: [ HTML Sectioned HTML PDF (843 kB) ] Order | | | Dependence of etch rates of silicon substrates on the use of C4F8 and C4F6 plasmas in the deposition step of the Bosch process Hyongmoo Rhee, Hae Min Lee, Yun Mi Namkoung, Chang-Koo Kim, Heeyeop Chae, and Yil Wook Kim pp. 33-40 Abstract Full Text: [ HTML Sectioned HTML PDF (647 kB) ] Order | | | Field-emission properties of carbon nanotubes grown using Cu–Cr catalysts Zhejuan Zhang, Daniel H. C. Chua, Yang Gao, Yanping Zhang, Zhe Tang, Beng Kang Tay, Tao Feng, Zhuo Sun, and Yiwei Chen pp. 41-46 Abstract Full Text: [ HTML Sectioned HTML PDF (419 kB) ] Order | | | Detailed characterization of hydrogen silsesquioxane for e-beam applications in a dynamic random access memory pilot line environment K. Keil, K.-H. Choi, C. Hohle, J. Kretz, L. Szikszai, and J.-W. Bartha pp. 47-51 Abstract Full Text: [ HTML Sectioned HTML PDF (381 kB) ] Order | | | Some peculiarities of resist-profile simulation for positive-tone chemically amplified resists in electron-beam lithography K. Vutova, E. Koleva, G. Mladenov, and I. Kostic pp. 52-57 Abstract Full Text: [ HTML Sectioned HTML PDF (309 kB) ] Order | | | Absorber stack with transparent conductive oxide layer for extreme ultraviolet lithography Hee Young Kang and Chang Kwon Hwangbo pp. 58-60 Abstract Full Text: [ HTML Sectioned HTML PDF (330 kB) ] Order | | | Fabrication of nanoscale bioarrays for the study of cytoskeletal protein binding interactions using nanoimprint lithography M. Schvartzman, K. Nguyen, M. Palma, J. Abramson, J. Sable, J. Hone, M. P. Sheetz, and S. J. Wind pp. 61-65 Abstract Full Text: [ HTML Sectioned HTML PDF (390 kB) ] Order | | | Latest results from the SEMATECH Berkeley extreme ultraviolet microfield exposure tool Patrick P. Naulleau, Christopher N. Anderson, Jerrin Chiu, Kim Dean, Paul Denham, Simi George, Kenneth A. Goldberg, Brian Hoef, Gideon Jones, Chawon Koh, Bruno La Fontaine, Andy Ma, Warren Montgomery, Dimitra Niakoula, Joo-on Park et al. pp. 66-70 Abstract Full Text: [ HTML Sectioned HTML PDF (657 kB) ] Order | | | Study of interface degradation of Hf-silicate gate dielectrics during thermal nitridation process S. Y. Son, J. H. Jang, P. Kumar, R. K. Singh, J. H. Yuh, H. Cho, and C. J. Kang pp. 71-75 Abstract Full Text: [ HTML Sectioned HTML PDF (457 kB) ] Order | | | Self-assembly solder process to form three-dimensional structures on silicon M. Rao, J. C. Lusth, and S. L. Burkett pp. 76-80 Abstract Full Text: [ HTML Sectioned HTML PDF (612 kB) ] Order | | | Ti0.94Fe0.06O2/Ti0.94Mn0.06O2 superlattice films deposited on atomic-scale flattened sapphire substrates for dilute magnetic semiconductor applications Nak-Jin Seong, Juan Jiang, and Soon-Gil Yoon pp. 81-84 Abstract Full Text: [ HTML Sectioned HTML PDF (466 kB) ] Order | | | Forbidden pitch improvement using modified illumination in lithography M. L. Ling, C. J. Tay, C. Quan, G. S. Chua, and Q. Lin pp. 85-91 Abstract Full Text: [ HTML Sectioned HTML PDF (708 kB) ] Order | | | Plasma-surface interactions of advanced photoresists with C4F8/Ar discharges: Plasma parameter dependencies S. Engelmann, R. L. Bruce, M. Sumiya, T. Kwon, R. Phaneuf, G. S. Oehrlein, C. Andes, D. Graves, D. Nest, and E. A. Hudson pp. 92-106 Abstract Full Text: [ HTML Sectioned HTML PDF (1704 kB) ] Order | | | Band bending and adsorption/desorption kinetics on N-polar GaN surfaces Soojeong Choi, Tong-Ho Kim, Pae Wu, April Brown, Henry O. Everitt, Maria Losurdo, and Giovanni Bruno pp. 107-112 Abstract Full Text: [ HTML Sectioned HTML PDF (696 kB) ] Order | | | Plasma reactor dry cleaning strategy after TaC, MoN, WSi, W, and WN etching processes R. Ramos, G. Cunge, O. Joubert, and T. Lill pp. 113-121 Abstract Full Text: [ HTML Sectioned HTML PDF (1006 kB) ] Order | | | Transformation of polycrystalline tungsten to monocrystalline tungsten W(100) and its potential application in Schottky emitters A. K. Dokania, Ruud Hendrikx, and P. Kruit pp. 122-125 Abstract Full Text: [ HTML Sectioned HTML PDF (843 kB) ] Order | | | Interface dependent electrical properties of amorphous InGaZnO4 thin film transistors Wantae Lim, Jung Hun Jang, S.-H. Kim, D. P. Norton, V. Craciun, S. J. Pearton, F. Ren, and H. Chen pp. 126-129 Abstract Full Text: [ HTML Sectioned HTML PDF (443 kB) ] Order | | | Five-element circuit model using linear-regression method to correct the admittance measurement of metal-oxide-semiconductor capacitor Chao-Ching Cheng, Chao-Hsin Chien, Guang-Li Luo, Jun-Cheng Liu, Yi-Cheng Chen, Yao-Feng Chang, Shin-Yuan Wang, Chi-Chung Kei, Chien-Nan Hsiao, and Chun-Yen Chang pp. 130-133 Abstract Full Text: [ HTML Sectioned HTML PDF (489 kB) ] Order | | | Metal nanowire fabrication by force microscopy lithography using amorphous arsenic sulfide resist layer H. D. Fonseca Filho, R. Prioli, and M. H. P. Maurício pp. 134-138 Abstract Full Text: [ HTML Sectioned HTML PDF (470 kB) ] Order | | | Electron optics of skewed micro-Einzel lenses M. J. van Bruggen, B. van Someren, and P. Kruit pp. 139-147 Abstract Full Text: [ HTML Sectioned HTML PDF (753 kB) ] Order | | | Synthesis, structural and magnetic properties of epitaxial MgFe2O4 thin films by molecular beam epitaxy J. Cheng, V. K. Lazarov, G. E. Sterbinsky, and B. W. Wessels pp. 148-151 Abstract Full Text: [ HTML Sectioned HTML PDF (354 kB) ] Order | | | Near field emission scanning electron microscopy T. L. Kirk, U. Ramsperger, and D. Pescia pp. 152-155 Abstract Full Text: [ HTML Sectioned HTML PDF (271 kB) ] Order | | | SiOx-planarized and transistor outlook-packaged oxide-confined vertical-cavity surface-emitting lasers with ring-shape geometry for high-speed (10 Gb/s) operation Chia-Lung Tsai, Jia-Qing Lin, Feng-Ming Lee, Yi-Lun Chou, and Meng-Chyi Wu pp. 156-160 Abstract Full Text: [ HTML Sectioned HTML PDF (585 kB) ] Order | | | REBL: A novel approach to high speed maskless electron beam direct write lithography Paul Petric, Chris Bevis, Allen Carroll, Henry Percy, Marek Zywno, Keith Standiford, Alan Brodie, Noah Bareket, and Luca Grella pp. 161-166 Abstract Full Text: [ HTML Sectioned HTML PDF (702 kB) ] Order | | | Mitigation of microloading effect in nanoimprint mask fabrication Raghunath Murali pp. 167-168 Abstract Full Text: [ HTML Sectioned HTML PDF (257 kB) ] Order | | | Computational and experimental studies of phase separation in pentacene:C60 mixtures Ying Zheng, Sharon K. Pregler, Jason D. Myers, Jiaomin Ouyang, Susan B. Sinnott, and Jiangeng Xue pp. 169-179 Abstract Full Text: [ HTML Sectioned HTML PDF (2029 kB) ] Order | | | Stable tungsten disilicide contacts for surface and thin film resistivity measurements G. Jnawali, F.-J. Meyer zu Heringdorf, D. Wall, S. Sindermann, and M. Horn-von Hoegen pp. 180-183 Abstract Full Text: [ HTML Sectioned HTML PDF (311 kB) ] Order | | | DNA directed assembly of nanoparticle linear structure for nanophotonics Baoquan Ding, Stefano Cabrini, Ronald N. Zuckermann, and Jeffrey Bokor pp. 184-187 Abstract Full Text: [ HTML Sectioned HTML PDF (525 kB) ] Order | | | Two-step resist-development process of hydrogen silsesquioxane for high-density electron-beam nanopatterning Hyo-Sung Lee, Jung-Sub Wi, Sung-Wook Nam, Hyun-Mi Kim, and Ki-Bum Kim pp. 188-192 Abstract Full Text: [ HTML Sectioned HTML PDF (524 kB) ] Order | Brief Reports and Comments | | Electrical properties of nanotip-assisted microplasma devices Sung-O. Kim, Yang-Suk Ko, and Hal-Bon Gu pp. 193-197 Abstract Full Text: [ HTML Sectioned HTML PDF (663 kB) ] Order | Errata | | Erratum: “Synthesis of nitrogen passivated rare-earth doped hafnia thin films and high temperature electrochemical conduction studies” [J. Vac. Sci. Technol. B 26, L33 (2008)] Shriram Ramanathan, Annamalai Karthikeyan, S. A. Govindarajan, and Paul D. Kirsh p. 198 Abstract Full Text: [ HTML Sectioned HTML PDF (32 kB) ] Order | | | Erratum: “Thermal conductivity of B–C–N and BN nanotubes” [J. Vac. Sci. Technol. B 23, 1883 (2005)] C. W. Chang, W. Q. Han, and Alex Zettl p. 199 Abstract Full Text: [ HTML Sectioned HTML PDF (28 kB) ] Order | PAPERS FROM THE 15TH WORKSHOP ON DIELECTRICS IN MICROELECTRONICS | | Alternative high-k dielectrics for semiconductor applications S. Van Elshocht, C. Adelmann, S. Clima, G. Pourtois, T. Conard, A. Delabie, A. Franquet, P. Lehnen, J. Meersschaut, N. Menou, M. Popovici, O. Richard, T. Schram, X. P. Wang, A. Hardy et al. pp. 209-213 Abstract Full Text: [ HTML Sectioned HTML PDF (312 kB) ] Order | | | Enhancement mode GaAs metal-oxide-semiconductor field-effect-transistor integrated with thin AlN surface passivation layer and silicon/phosphorus coimplanted source/drain Fei Gao, S. J. Lee, and D. L. Kwong pp. 214-217 Abstract Full Text: [ HTML Sectioned HTML PDF (283 kB) ] Order | | | InAlN/GaN metal-oxide-semiconductor high electron mobility transistor with Al2O3 insulating films grown by metal organic chemical vapor deposition using Ar and NH3 carrier gases K. Čičo, J. Kuzmík, J. Liday, K. Hušeková, G. Pozzovivo, J.-F. Carlin, N. Grandjean, D. Pogany, P. Vogrinčič, and K. Fröhlich pp. 218-222 Abstract Full Text: [ HTML Sectioned HTML PDF (418 kB) ] Order | | | Ammonia-free deposition of silicon nitride films using pulsed-plasma chemical vapor deposition under near atmospheric pressure M. Matsumoto, Y. Inayoshi, S. Murashige, M. Suemitsu, S. Nakajima, T. Uehara, and Y. Toyoshima pp. 223-225 Abstract Full Text: [ HTML Sectioned HTML PDF (320 kB) ] Order | | | Behavior of zirconium oxide films processed from novel monocyclopentadienyl precursors by atomic layer deposition Kaupo Kukli, Jaakko Niinistö, Aile Tamm, Mikko Ritala, and Markku Leskelä pp. 226-229 Abstract Full Text: [ HTML Sectioned HTML PDF (607 kB) ] Order | | | Effect of annealing and electrical properties of high- thin films grown by atomic layer deposition using carboxylic acids as oxygen source E. Rauwel, F. Ducroquet, P. Rauwel, M.-G. Willinger, I. Matko, and N. Pinna pp. 230-235 Abstract Full Text: [ HTML Sectioned HTML PDF (275 kB) ] Order | | | Study of metal oxide-semiconductor capacitors with rf magnetron sputtering TiOx and TiOxNy gate dielectric layer K. F. Albertin and I. Pereyra pp. 236-245 Abstract Full Text: [ HTML Sectioned HTML PDF (1080 kB) ] Order | | | Metal-oxide-semiconductor devices on p-type Ge with La2O3 and ZrO2/La2O3 as gate dielectric and the effect of postmetallization anneal S. F. Galata, G. Mavrou, P. Tsipas, A. Sotiropoulos, Y. Panayiotatos, and A. Dimoulas pp. 246-248 Abstract Full Text: [ HTML Sectioned HTML PDF (372 kB) ] Order | | | Gd silicate: A high-k dielectric compatible with high temperature annealing H. D. B. Gottlob, A. Stefani, M. Schmidt, M. C. Lemme, H. Kurz, I. Z. Mitrovic, M. Werner, W. M. Davey, S. Hall, P. R. Chalker, K. Cherkaoui, P. K. Hurley, J. Piscator, O. Engström, and S. B. Newcomb pp. 249-252 Abstract Full Text: [ HTML Sectioned HTML PDF (213 kB) ] Order | | | Optimization of the AlON buffer layer for PrXOY/Si stacks K. Henkel, Y. Burkov, K. Karavaev, M. Torche, C. Schwiertz, and D. Schmeißer pp. 253-257 Abstract Full Text: [ HTML Sectioned HTML PDF (531 kB) ] Order | | | Complementary metal oxide semiconductor integration of epitaxial Gd2O3 M. C. Lemme, H. D. B. Gottlob, T. J. Echtermeyer, M. Schmidt, H. Kurz, R. Endres, U. Schwalke, M. Czernohorkky, D. Tetzlaff, and H. J. Osten pp. 258-261 Abstract Full Text: [ HTML Sectioned HTML PDF (376 kB) ] Order | | | Structure dependence of epitaxial Pr2O3/Si(001) on oxygen pressure during growth Tatsuro Watahiki, Wolfgang Braun, and Henning Riechert pp. 262-265 Abstract Full Text: [ HTML Sectioned HTML PDF (408 kB) ] Order | | | Epitaxial growth of high- TiO2 rutile films on RuO2 electrodes K. Fröhlich, J. Aarik, M. apajna, A. Rosová, A. Aidla, E. Dobročka, and K. Hušková pp. 266-270 Abstract Full Text: [ HTML Sectioned HTML PDF (386 kB) ] Order | | | On the band gaps and electronic structure of thin single crystalline praseodymium oxide layers on Si(111) O. Seifarth, J. Dabrowski, P. Zaumseil, S. Müller, D. Schmeißer, H.-J. Müssig, and T. Schroeder pp. 271-276 Abstract Full Text: [ HTML Sectioned HTML PDF (381 kB) ] Order | | | Band offsets and work function control in field effect transistors John Robertson pp. 277-285 Abstract Full Text: [ HTML Sectioned HTML PDF (974 kB) ] Order | | | Influence of the electrode material on HfO2 metal-insulator-metal capacitors Ch. Wenger, M. Lukosius, H.-J. Müssig, G. Ruhl, S. Pasko, and Ch. Lohe pp. 286-289 Abstract Full Text: [ HTML Sectioned HTML PDF (311 kB) ] Order | | | Effective work function engineering by lanthanide ion implantation of metal-oxide semiconductor gate stacks A. Fet, V. Häublein, A. J. Bauer, and H. Ryssel pp. 290-293 Abstract Full Text: [ HTML Sectioned HTML PDF (598 kB) ] Order | | | Predeposition plasma nitridation process applied to Ge substrates to passivate interfaces between crystalline-Ge substrates and Hf-based high-K dielectrics G. Lucovsky, J. P. Long, K.-B. Chung, H. Seo, B. Watts, R. Vasic, and M. D. Ulrich pp. 294-299 Abstract Full Text: [ HTML Sectioned HTML PDF (744 kB) ] Order | | | HfO2/Si interface formation in atomic layer deposition films: An in situ investigation Massimo Tallarida, Konstantin Karavaev, and Dieter Schmeisser pp. 300-304 Abstract Full Text: [ HTML Sectioned HTML PDF (391 kB) ] Order | | | Structure and defects of epitaxial Si(111) layers on Y2O3(111)/Si(111) support systems C. Borschel, C. Ronning, H. Hofsäss, A. Giussani, P. Zaumseil, Ch. Wenger, P. Storck, and T. Schroeder pp. 305-309 Abstract Full Text: [ HTML Sectioned HTML PDF (475 kB) ] Order | | | Identification of interfacial defects in high-k gate stack films by spectroscopic ellipsometry J. Price, G. Bersuker, and P. S. Lysaght pp. 310-312 Abstract Full Text: [ HTML Sectioned HTML PDF (310 kB) ] Order | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |