Sedemos News

lunes, 1 de diciembre de 2008

Table of Contents Alert for Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures

Journal of Vacuum Society and Technology B

This is a delivery from the Scitation Table of Contents E-mail Alerting Service.

You may also visit http://scitation.aip.org/jvstb/ to browse the contents of this issue, complete with links to abstracts and full text. Please note that you must be a subscriber to enjoy full-text access.

This Table of Contents is for individual, noncommercial uses only. A limited license is granted to individuals to print or electronically store this document on their personal computer or workstation.

INDIVIDUALS RECEIVING THIS TABLE OF CONTENTS ARE NOT GRANTED LICENSE TO ALTER, REDISTRIBUTE, OR CHARGE FOR A COPY OF THIS DOCUMENT, OR ANY OF ITS COMPONENTS.

If you would like to be removed from the list of recipients for this Table of Contents alert, please go to http://jvstb.aip.org/alert.jsp, enter your email address and select the "unsubscribe" option.


Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures -- November 2008

Volume 26, Issue 6 , pp. L45-2635

[ Previous Issue | Available Volumes | Issue Index ]

  • Letters
  • Regular Articles
  • Errata
  • PAPERS FROM THE 52ND INTERNATIONAL CONFERENCE ON ELECTRON, ION, AND PHOTON BEAM TECHNOLOGY AND NANOFABRICATION
  • Letters

  • Fabrication of thin-film silicon on insulator by separation by implanted oxygen layer transfer
    Xing Wei, Ai Min Wu, Meng Chen, Jing Chen, Miao Zhang, Xi Wang, and Cheng Lu Lin
    pp. L45-L47
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (336 kB)  GZipped PS   ]    Order
  • Fabrication of Ag-tetracyanoquinodimethane nanostructures using ink-jet printing/vapor-solid chemical reaction process
    Ravi Aggarwal, Roger J. Narayan, Kai Xiao, and David B. Geohegan
    pp. L48-L52
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (719 kB)  GZipped PS   ]    Order
  • Regular Articles

  • The transition mechanisms of a ten-period InAs/GaAs quantum-dot infrared photodetector
    Chi-Che Tseng, Shu-Ting Chou, Shin-Yen Lin, Cheng-Nan Chen, Wei-Hsun Lin, Yi-Hao Chen, Tung-Hsun Chung, and Meng-Chyi Wu
    pp. 1831-1833
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (145 kB)  GZipped PS   ]    Order
  • Fabrication and testing of through-silicon vias used in three-dimensional integration
    I. U. Abhulimen, A. Kamto, Y. Liu, S. L. Burkett, and L. Schaper
    pp. 1834-1840
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (599 kB)  GZipped PS   ]    Order
  • GaN nanowire and Ga2O3 nanowire and nanoribbon growth from ion implanted iron catalyst
    Jason L. Johnson, Yongho Choi, and Ant Ural
    pp. 1841-1847
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (832 kB)  GZipped PS   ]    Order
  • Gigahertz surface acoustic wave generation on ZnO thin films deposited by radio frequency magnetron sputtering on III-V semiconductor substrates
    Qi Jie Wang, Christian Pflügl, William F. Andress, Donhee Ham, Federico Capasso, and Masamichi Yamanishi
    pp. 1848-1851
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (218 kB)  GZipped PS   ]    Order
  • Large-area dielectric and metallic freestanding gratings for midinfrared optical filtering applications
    Grégory Vincent, Stéphane Collin, Nathalie Bardou, Jean-Luc Pelouard, and Riad Haïdar
    pp. 1852-1855
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1276 kB)  GZipped PS   ]    Order
  • Optimization of antireflection structures of polymer based on nanoimprinting using anodic porous alumina
    Takashi Yanagishita, Toshiaki Kondo, Kazuyuki Nishio, and Hideki Masuda
    pp. 1856-1859
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (681 kB)  GZipped PS   ]    Order
  • A new approach to fabricating high density nanoarrays by nanocontact printing
    Jian Gu, Xiaoyin Xiao, Bharath R. Takulapalli, Michael E. Morrison, Peiming Zhang, and Frederic Zenhausern
    pp. 1860-1865
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (758 kB)  GZipped PS   ]    Order
  • Neutral particle proximity lithography: Noncontact nanoscale printing without charge-related artifacts
    Barry Craver, Hatem Nounu, James Wasson, and John C. Wolfe
    pp. 1866-1870
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (531 kB)  GZipped PS   ]    Order
  • Epitaxial cubic HfN diffusion barriers deposited on Si (001) by using a TiN buffer layer
    Roy A. Araujo, Xinghang Zhang, and Haiyan Wang
    pp. 1871-1874
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (387 kB)  GZipped PS   ]    Order
  • Tungsten metal gate etching in Cl2/O2 inductively coupled high density plasmas
    T. Morel, S. Bamola, R. Ramos, A. Beaurain, E. Pargon, and O. Joubert
    pp. 1875-1882
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1024 kB)  GZipped PS   ]    Order
  • High and low temperature behavior of Ohmic contacts to AlGaN/GaN heterostructures with a thin GaN cap
    M. A. Miller and S. E. Mohney
    pp. 1883-1886
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (252 kB)  GZipped PS   ]    Order
  • Characterization of a sol-gel based high-k dielectric field effect transistor for cryogenic operation
    M. Ziaur Rahman Khan, D. G. Hasko, M. S. M. Saifullah, and M. E. Welland
    pp. 1887-1891
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (591 kB)  GZipped PS   ]    Order
  • Improved field emission properties from polycrystalline indium oxide-coated single-walled carbon nanotubes
    Jungwoo Lee, Wonjoo Lee, Kijo Sim, Sung-Hwan Han, and Whikun Yi
    pp. 1892-1895
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (464 kB)  GZipped PS   ]    Order
  • HBr-based inductively coupled plasma etching of high aspect ratio nanoscale trenches in GaInAsP/InP
    Wei Zhou, N. Sultana, and D. L. MacFarlane
    pp. 1896-1902
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1750 kB)  GZipped PS   ]    Order
  • Spatial scaling metrics of mask-induced line-edge roughness
    Patrick P. Naulleau and Gregg Gallatin
    pp. 1903-1910
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1895 kB)  GZipped PS   ]    Order
  • Feature profile evolution during shallow trench isolation etch in chlorine-based plasmas. I. Feature scale modeling
    John Hoang, Cheng-Che Hsu, and Jane P. Chang
    pp. 1911-1918
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (764 kB)  GZipped PS   ]    Order
  • Feature profile evolution during shallow trench isolation etch in chlorine-based plasmas. II. Coupling reactor and feature scale models
    Cheng-Che Hsu, John Hoang, Vu Le, and Jane P. Chang
    pp. 1919-1925
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (364 kB)  GZipped PS   ]    Order
  • Damage mechanism in low-dielectric (low-k) films during plasma processes
    Butsurin Jinnai, Toshihisa Nozawa, and Seiji Samukawa
    pp. 1926-1932
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (879 kB)  GZipped PS   ]    Order
  • Photoconductivity of vertically aligned ZnO nanoneedle array
    Dongseok Park and Kijung Yong
    pp. 1933-1936
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (325 kB)  GZipped PS   ]    Order
  • Improved crystalline quality nonpolar a-GaN films grown by hydride vapor phase epitaxy
    A. A. Donskov, L. I. D'yakonov, A. V. Govorkov, Y. P. Kozlova, S. S. Malakhov, A. V. Markov, M. V. Mezhennyi, V. F. Pavlov, A. Y. Polyakov, N. B. Smirnov, T. G. Yugova, and S. J. Pearton
    pp. 1937-1941
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (522 kB)  GZipped PS   ]    Order
  • Solid-phase growth mechanism of tungsten oxide nanowires synthesized on sputtered tungsten film
    Y. Kojima, K. Kasuya, K. Nagato, T. Hamaguchi, and M. Nakao
    pp. 1942-1947
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (751 kB)  GZipped PS   ]    Order
  • The coexistence of surface reconstruction domains on strained heteroepitaxial films
    Lee E. Sears, Joanna Mirecki Millunchick, and Chris Pearson
    pp. 1948-1951
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (300 kB)  GZipped PS   ]    Order
  • Ge1−ySny photoconductor structures at 1.55  µm: From advanced materials to prototype devices
    R. Roucka, J. Xie, J. Kouvetakis, J. Mathews, V. D'Costa, J. Menéndez, J. Tolle, and S.-Q. Yu
    pp. 1952-1959
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (657 kB)  GZipped PS   ]    Order
  • Growth of Si nanowires on micropillars for the study of their dopant distribution by atom probe tomography
    T. Xu, J. P. Nys, B. Grandidier, D. Stiévenard, Y. Coffinier, R. Boukherroub, R. Larde, E. Cadel, and P. Pareige
    pp. 1960-1963
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (342 kB)  GZipped PS   ]    Order
  • Modifications of dielectric films induced by plasma ashing processes: Hybrid versus porous SiOCH materials
    M. Darnon, T. Chevolleau, T. David, N. Posseme, J. Ducote, C. Licitra, L. Vallier, O. Joubert, and J. Torres
    pp. 1964-1970
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (767 kB)  GZipped PS   ]    Order
  • Formation and stability of NiSi in the presence of Co and Fe alloying elements
    D. Deduytsche, C. Detavernier, R. L. Van Meirhaeghe, J. L. Jordan-Sweet, and C. Lavoie
    pp. 1971-1977
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (920 kB)  GZipped PS   ]    Order
  • Study of 193  nm photoresist degradation during short time fluorocarbon plasma exposure III. Effect of fluorocarbon film and initial surface condition on photoresist degradation
    M. Sumiya, R. Bruce, S. Engelmann, F. Weilnboeck, and G. S. Oehrlein
    pp. 1978-1986
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (758 kB)  GZipped PS   ]    Order
  • Interface states mediated reverse leakage through metal/AlxGa1−xN/GaN Schottky diodes
    Changzhi Lu, Xiaoling Zhang, Xuesong Xie, Shiwei Feng, Ibrahima Diagne, Arif Khan, and S. Noor Mohammad
    pp. 1987-1992
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (452 kB)  GZipped PS   ]    Order
  • Investigation of the oxide-assisted growth mechanism for nanowire growth and a model for this mechanism
    S. Noor Mohammad
    pp. 1993-2007
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (5178 kB)  GZipped PS   ]    Order
  • Bias power dependence of reactive ion etching lag in contact hole etching using inductively coupled fluorocarbon plasma
    Shin-ichi Imai
    pp. 2008-2012
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (361 kB)  GZipped PS   ]    Order
  • Errata

  • Erratum: “Spherical field emission cathode based on carbon nanotube paste and its application in luminescent bulbs” [J. Vac. Sci. Technol. B 26, 1404 (2008)]
    Weiqi Fu, Peng Liu, Jie Tang, Liang Liu, and Shoushan Fan
    p. 2013
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (83 kB)  GZipped PS   ]    Order
  • PAPERS FROM THE 52ND INTERNATIONAL CONFERENCE ON ELECTRON, ION, AND PHOTON BEAM TECHNOLOGY AND NANOFABRICATION


    E-Beam Maskless Lithography
    [ Next Subject | Issue Index | Top / Bottom of Page]

  • Electron beams in individual column cells of multicolumn cell system
    Akio Yamada, Hiroshi Yasuda, and Masaki Yamabe
    pp. 2025-2031
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1064 kB)  GZipped PS   ]    Order
  • Process variation-aware three-dimensional proximity effect correction for electron beam direct writing at 45  nm node and beyond
    Kozo Ogino, Hiromi Hoshino, and Yasuhide Machida
    pp. 2032-2038
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (861 kB)  GZipped PS   ]    Order
  • Charging and error budgets in electron beam lithography tools
    John G. Hartley and Adam Lyons
    pp. 2039-2042
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (584 kB)  GZipped PS   ]    Order
  • An innovative design of wafer height and tilt sensor for lithography systems
    Junru Ruan and John Hartley
    pp. 2043-2048
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (608 kB)  GZipped PS   ]    Order
  • Influence of hydrogen silsesquioxane resist exposure temperature on ultrahigh resolution electron beam lithography
    Vadim Sidorkin, Emile van der Drift, and Huub Salemink
    pp. 2049-2053
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (437 kB)  GZipped PS   ]    Order
  • Dynamic stencil lithography on full wafer scale
    Veronica Savu, Marc A. F. van den Boogaart, Juergen Brugger, Julien Arcamone, Marc Sansa, and Francesc Perez-Murano
    pp. 2054-2058
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (432 kB)  GZipped PS   ]    Order
  • Projection maskless patterning for nanotechnology applications
    Elmar Platzgummer, Hans Loeschner, and Gerhard Gross
    pp. 2059-2063
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (739 kB)  GZipped PS   ]    Order

  • Electron Beam Sources
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Sub-50  nm resolution surface electron emission lithography using nano-Si ballistic electron emitter
    A. Kojima, H. Ohyi, and N. Koshida
    pp. 2064-2068
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (449 kB)  GZipped PS   ]    Order
  • Multilevel visualization of local electric field at probe apex using scanning electron microscopy
    Jun-ichi Fujita, Yuta Ikeda, and Ikumi Suzuki
    pp. 2069-2072
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (398 kB)  GZipped PS   ]    Order
  • Effect of the electric field on the form stability of a Schottky electron emitter: A step model
    M. S. Bronsgeest and P. Kruit
    pp. 2073-2079
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (390 kB)  GZipped PS   ]    Order
  • Range of validity of field emission equations
    A. S. Bahm, G. A. Schwind, and L. W. Swanson
    pp. 2080-2084
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (171 kB)  GZipped PS   ]    Order
  • Evaluation of electron energy spread in CsBr based photocathodes
    Juan R. Maldonado, Yun Sun, Zhi Liu, Xuefeng Liu, Sayaka Tanimoto, Piero Pianetta, and Fabian Pease
    pp. 2085-2090
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (527 kB)  GZipped PS   ]    Order

  • Ion Beam Technology
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Brightness measurements of a gallium liquid metal ion source
    C. W. Hagen, E. Fokkema, and P. Kruit
    pp. 2091-2096
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (174 kB)  GZipped PS   ]    Order
  • The use of ionic liquid ion sources in focused ion beam applications
    Anthony N. Zorzos and Paulo C. Lozano
    pp. 2097-2102
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (218 kB)  GZipped PS   ]    Order
  • Elemental analysis with the helium ion microscope
    Sybren Sijbrandij, Bill Thompson, John Notte, Bill W. Ward, and Nicholas P. Economou
    pp. 2103-2106
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (709 kB)  GZipped PS   ]    Order
  • Sputtering limits versus signal-to-noise limits in the observation of Sn balls in a Ga+ microscope
    V. Castaldo, C. W. Hagen, B. Rieger, and P. Kruit
    pp. 2107-2115
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (656 kB)  GZipped PS   ]    Order

  • Photon Beam Technology
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Enhancement in hyper-numerical-aperture imaging through selective TM polarization
    Bruce Smith, Jianming Zhou, and Peng Xie
    pp. 2116-2120
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (765 kB)  GZipped PS   ]    Order
  • Image quality improvement in focused ion beam photomask repair system
    Anto Yasaka, Fumio Aramaki, Masashi Muramatsu, Tomokazu Kozakai, Osamu Matsuda, Yasuhiko Sugiyama, Toshio Doi, Osamu Takaoka, Ryoji Hagiwara, and Koji Nakamae
    pp. 2121-2123
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (540 kB)  GZipped PS   ]    Order
  • High-speed optical beam-steering based on phase-arrayed waveguides
    Mona Jarrahi, R. Fabian, W. Pease, David A. B. Miller, and Thomas H. Lee
    pp. 2124-2126
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (493 kB)  GZipped PS   ]    Order
  • Application of vector scanning in focused ion beam photomask repair system
    Anto Yasaka, Fumio Aramaki, Masashi Muramatsu, Tomokazu Kozakai, Osamu Matsuda, Yasuhiko Sugiyama, Toshio Doi, Osamu Takaoka, Ryoji Hagiwara, and Koji Nakamae
    pp. 2127-2130
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (592 kB)  GZipped PS   ]    Order
  • Linewidth uniformity in Lloyd's mirror interference lithography systems
    Thomas B. O'Reilly and Henry I. Smith
    pp. 2131-2134
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (360 kB)  GZipped PS   ]    Order
  • Spatial-frequency multiplication with multilevel interference lithography
    Chih-Hao Chang, Y. Zhao, R. K. Heilmann, and M. L. Schattenburg
    pp. 2135-2138
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (634 kB)  GZipped PS   ]    Order
  • Light modulation with nanopatterned diffractive microelectromechanical system pixels
    Jack L. Skinner, A. Alec Talin, and David A. Horsley
    pp. 2139-2144
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (810 kB)  GZipped PS   ]    Order
  • Improving lithography pattern fidelity and line-edge roughness by reducing laser speckle
    Oleg Kritsun, Ivan Lalovic, Slava Rokitski, Bill Partlo, Bruno La Fontaine, Nigel Farrar, and Harry Levinson
    pp. 2145-2150
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (738 kB)  GZipped PS   ]    Order

  • Nano-optical Devices
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Fabrication of metallic nanoslit waveguides with sharp bends
    M. Lu, L. E. Ocola, S. K. Gray, and G. P. Wiederrecht
    pp. 2151-2155
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (460 kB)  GZipped PS   ]    Order
  • Optical antennas: A boost for infrared detection
    Huifeng Li and Xing Cheng
    pp. 2156-2159
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (309 kB)  GZipped PS   ]    Order
  • Fabrication of Fresnel zone plates by holography in the extreme ultraviolet region
    Sankha S. Sarkar, Pratap K. Sahoo, Harun H. Solak, Christian David, and J. Friso Van der Veen
    pp. 2160-2163
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (317 kB)  GZipped PS   ]    Order
  • Fabrication strategies for filter banks based on microring resonators
    C. W. Holzwarth, R. Amatya, M. Dahlem, A. Khilo, F. X. Kärtner, E. P. Ippen, R. J. Ram, and Henry I. Smith
    pp. 2164-2167
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (337 kB)  GZipped PS   ]    Order
  • Focused in beam fabrication of metallic nanostructures on end faces of optical fibers for chemical sensing applications
    A. Dhawan, J. F. Muth, D. N. Leonard, M. D. Gerhold, J. Gleeson, T. Vo-Dinh, and P. E. Russell
    pp. 2168-2173
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (471 kB)  GZipped PS   ]    Order
  • NIL processes and material characterization on transparent substrates for optical applications
    N. Chaix, C. Gourgon, C. Perret, S. Decossas, S. Landis, V. G. Lambertini, and N. Li Pira
    pp. 2174-2178
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (482 kB)  GZipped PS   ]    Order
  • Fabrication of 200  nm period blazed transmission gratings on silicon-on-insulator wafers
    Minseung Ahn, Ralf K. Heilmann, and Mark L. Schattenburg
    pp. 2179-2182
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (599 kB)  GZipped PS   ]    Order

  • Metamaterials
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Engineering surface plasmon grating couplers through computer simulation
    Daniel P. Ceperley and Andrew R. Neureuther
    pp. 2183-2187
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (353 kB)  GZipped PS   ]    Order
  • Light transmission through a metallic/dielectric nano-optic lens
    Hyungduk Ko, Hyun Chul Kim, and Mosong Cheng
    pp. 2188-2191
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (425 kB)  GZipped PS   ]    Order
  • Photomask image enhancement using grating-generated surface waves
    Neal V. Lafferty, Anatoly Bourov, Andrew Estroff, and Bruce W. Smith
    pp. 2192-2196
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (550 kB)  GZipped PS   ]    Order
  • Optical focusing of plasmonic Fresnel zone plate-based metallic structure covered with a dielectric layer
    Hyun Chul Kim, Hyungduk Ko, and Mosong Cheng
    pp. 2197-2203
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (603 kB)  GZipped PS   ]    Order

  • EUV Lithography
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Extreme ultraviolet lithography: Status and prospects
    Jos Benschop, Vadim Banine, Sjoerd Lok, and Erik Loopstra
    pp. 2204-2207
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (502 kB)  GZipped PS   ]    Order
  • Effects of mask absorber structures on the extreme ultraviolet lithography
    Hwan-Seok Seo, Dong-Gun Lee, Hoon Kim, Sungmin Huh, Byung-Sup Ahn, Hakseung Han, Dongwan Kim, Seong-Sue Kim, Han-Ku Cho, and Eric M. Gullikson
    pp. 2208-2214
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (513 kB)  GZipped PS   ]    Order
  • Experimental validation of full-field extreme ultraviolet lithography flare and shadowing corrections
    A. M. Myers, G. F. Lorusso, I. Kim, A. M. Goethals, R. Jonckheere, J. Hermans, B. Baudemprez, and K. Ronse
    pp. 2215-2219
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (425 kB)  GZipped PS   ]    Order
  • Actinic extreme ultraviolet mask inspection beyond 0.25  numerical  aperture
    K. A. Goldberg, P. Naulleau, I. Mochi, E. H. Anderson, S. B. Rekawa, C. D. Kemp, R. F. Gunion, H.-S. Han, and S. Huh
    pp. 2220-2224
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (488 kB)  GZipped PS   ]    Order
  • The effects of oxygen plasma on the chemical composition and morphology of the Ru capping layer of the extreme ultraviolet mask blanks
    Leonid Belau, Jeong Y. Park, Ted Liang, and Gabor A. Somorjai
    pp. 2225-2229
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (529 kB)  GZipped PS   ]    Order
  • Protection and reduction of surface oxidation of Mo/Si multilayers for extreme ultraviolet lithography projection optics by control of hydrocarbon gas atmosphere
    Masahito Niibe, Keigo Koida, and Yukinobu Kakutani
    pp. 2230-2235
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (512 kB)  GZipped PS   ]    Order
  • Decomposition of catechol and carbonaceous residues on TiO2(110): A model system for cleaning of extreme ultraviolet lithography optics
    Peter Jacobson, Shao-Chun Li, Chuandao Wang, and Ulrike Diebold
    pp. 2236-2240
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (397 kB)  GZipped PS   ]    Order
  • Interaction of benzene with TiO2 surfaces: Relevance to contamination of extreme ultraviolet lithography mirror capping layers
    Shimon Zalkind, Boris V. Yakshinskiy, and Theodore E. Madey
    pp. 2241-2246
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (553 kB)  GZipped PS   ]    Order

  • Line Edge Roughness/Resists
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Stability of HSQ nanolines defined by e-beam lithography for Si nanowire field effect transistors
    Suresh Regonda, Mukti Aryal, and Wenchuang (Walter) Hu
    pp. 2247-2251
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (537 kB)  GZipped PS   ]    Order
  • Novel negative-tone molecular resist based on polyphenol derivative for extreme ultraviolet lithography
    Hiroaki Oizumi, Takafumi Kumise, and Toshiro Itani
    pp. 2252-2256
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (568 kB)  GZipped PS   ]    Order
  • Latent image formation in chemically amplified extreme ultraviolet resists with low activation energy for deprotection reaction
    Takahiro Kozawa, Seiichi Tagawa, Julius Joseph Santillan, and Toshiro Itani
    pp. 2257-2260
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (87 kB)  GZipped PS   ]    Order
  • Dissolution characteristics of chemically amplified extreme ultraviolet resist
    Toshiro Itani, Koji Kaneyama, Takahiro Kozawa, and Seiichi Tagawa
    pp. 2261-2264
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (285 kB)  GZipped PS   ]    Order
  • Improvement in linewidth roughness by postprocessing
    Manish Chandhok, Kent Frasure, E. Steve Putna, Todd R. Younkin, Willy Rachmady, Uday Shah, and Wang Yueh
    pp. 2265-2270
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (878 kB)  GZipped PS   ]    Order
  • Epoxy silsesquioxane resists for UV nanoimprint lithography
    J. De Girolamo, M. Chouiki, J.-H. Tortai, C. Sourd, S. Derrough, M. Zelsmann, and J. Boussey
    pp. 2271-2275
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (248 kB)  GZipped PS   ]    Order
  • Understanding the effects of photoacid distribution homogeneity and diffusivity on critical dimension control and line edge roughness in chemically amplified resists
    Cheng-Tsung Lee, Richard A. Lawson, and Clifford L. Henderson
    pp. 2276-2280
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (114 kB)  GZipped PS   ]    Order
  • Contributions of resist polymers to innate material roughness
    Theodore H. Fedynyshyn, David K. Astolfi, Russell B. Goodman, Susan Cann, and Jeanette Roberts
    pp. 2281-2289
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (973 kB)  GZipped PS   ]    Order
  • Optical characterization of a hydrogen silsesquioxane lithography process
    A. Samarelli, D. S. Macintyre, M. J. Strain, R. M. De La Rue, M. Sorel, and S. Thoms
    pp. 2290-2294
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (286 kB)  GZipped PS   ]    Order
  • Influence of base and photoacid generator on deprotection blur in extreme ultraviolet photoresists and some thoughts on shot noise
    Christopher N. Anderson, Patrick P. Naulleau, Dimitra Niakoula, Elsayed Hassanein, Robert Brainard, Gregg Gallatin, and Kim Dean
    pp. 2295-2299
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (354 kB)  GZipped PS   ]    Order
  • The effect of thin metal overlayers on the electron beam exposure of polymethyl methacrylate
    C. B. Samantaray and J. T. Hastings
    pp. 2300-2305
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (675 kB)  GZipped PS   ]    Order
  • The effects of molecular weight on the exposure characteristics of poly(methylmethacrylate) developed at low temperatures
    M. Yan, S. Choi, K. R. V. Subramanian, and I. Adesida
    pp. 2306-2310
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (536 kB)  GZipped PS   ]    Order
  • Effect of microstructure on deprotection kinetics in photoresist
    David S. Fryer, Vivek Singh, Srinivas B. Bollepalli, and Alex A. Granovsky
    pp. 2311-2315
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (438 kB)  GZipped PS   ]    Order

  • Metrology, Alignment, and Inspection
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Field-programmable gate array implementation of real-time spatial-phase locking for electron-beam lithography
    Yugu Yang and J. T. Hastings
    pp. 2316-2321
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (678 kB)  GZipped PS   ]    Order
  • Abbe singular-value decomposition: Compact Abbe's kernel generation for microlithography aerial image simulation using singular-value decomposition method
    Charlie Chung Ping Chen, Ahmet Gurhanli, Tse-Yu Chiang, Jen-Jer Hong, and Lawrence S. Melvin, III
    pp. 2322-2330
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (994 kB)  GZipped PS   ]    Order
  • Aberration correction for electron beam inspection, metrology, and lithography
    Eric Munro, John Rouse, Haoning Liu, and Liping Wang
    pp. 2331-2336
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (534 kB)  GZipped PS   ]    Order
  • Study of machine to machine overlay error for sub-60-nm memory devices
    Jangho Shin, Sihyeung Lee, Jeongho Yeo, Hochul Kim, Junghyeon Lee, and Woosung Han
    pp. 2337-2340
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (456 kB)  GZipped PS   ]    Order
  • Nanometer-level alignment to a substrate-embedded coordinate system
    Euclid E. Moon and Henry I. Smith
    pp. 2341-2344
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (341 kB)  GZipped PS   ]    Order
  • Resist charging effect in photomask: Its impact on pattern placement error and critical dimension
    Jin Choi, Dong Seok Nam, Byung Gook Kim, Sang-Gyun Woo, and Han Ku Cho
    pp. 2345-2350
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (461 kB)  GZipped PS   ]    Order
  • Self-assembled monolayer fiducial grids for spatial-phase-locked electron-beam lithography
    C. B. Samantaray and J. T. Hastings
    pp. 2351-2355
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (223 kB)  GZipped PS   ]    Order

  • Imaging/Microscopy
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Development of the compact low-energy soft x-ray CT instrument for the soft material structural analysis
    Motosuke Miyoshi, Takao Hamakubo, Tatsuhiko Kodama, Masatoshi Tsuchiya, Atsushi Koishikawa, and Nobutada Aoki
    pp. 2356-2361
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (478 kB)  GZipped PS   ]    Order
  • X-ray diffraction microscopy: Reconstruction with partial magnitude and spatial a priori information
    Leili Baghaei Rad, Ian Downes, Bing Dai, Diling Zhu, Andreas Scherz, Jun Ye, Piero Pianetta, and R. Fabian W. Pease
    pp. 2362-2366
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (263 kB)  GZipped PS   ]    Order
  • Scanning proximal probes for parallel imaging and lithography
    K. Ivanova, Y. Sarov, Tzv. Ivanov, A. Frank, J. Zöllner, Ch. Bitterlich, U. Wenzel, B. E. Volland, S. Klett, I. W. Rangelow, P. Zawierucha, M. Zielony, T. Gotszalk, D. Dontzov, W. Schott et al.
    pp. 2367-2373
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1073 kB)  GZipped PS   ]    Order
  • Neutral atom and molecule focusing using a Fresnel zone plate
    Thomas Reisinger and Bodil Holst
    pp. 2374-2379
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (603 kB)  GZipped PS   ]    Order

  • Nanoimprint
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Quality assessment of antisticking layers for thermal nanoimprint
    H.-C. Scheer, W. Häfner, A. Fidler, S. Möllenbeck, and N. Bogdanski
    pp. 2380-2384
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (111 kB)  GZipped PS   ]    Order
  • Patterning of polyfluorene based polymer light emitting diodes by reversal imprint lithography
    B. L. Cardozo and S. W. Pang
    pp. 2385-2389
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (649 kB)  GZipped PS   ]    Order
  • Fabrication of the nanoimprint mold using inorganic electron beam resist with post exposure bake
    Noriyuki Unno, Jun Taniguchi, Miyako Shizuno, and Kiyoshi Ishikawa
    pp. 2390-2393
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (720 kB)  GZipped PS   ]    Order
  • Fluorinated diamondlike carbon templates for high resolution nanoimprint lithography
    M. Schvartzman, A. Mathur, Y. Kang, C. Jahnes, J. Hone, and S. J. Wind
    pp. 2394-2398
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (445 kB)  GZipped PS   ]    Order
  • Experimental and numerical analyses on recovery of polymer deformation after demolding in the hot embossing process
    Hideki Takagi, Masaharu Takahashi, Ryutaro Maeda, Yuki Onishi, Yasuroh Iriye, Takuya Iwasaki, and Yoshihiko Hirai
    pp. 2399-2403
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (502 kB)  GZipped PS   ]    Order
  • Improving organic thin-film transistor performance by nanoimprint-induced chain ordering
    Dehu Cui, Huifeng Li, Hyunsoo Park, and Xing Cheng
    pp. 2404-2409
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (549 kB)  GZipped PS   ]    Order
  • Full field imprint masks using variable shape beam pattern generators
    Kosta Selinidis, Ecron Thompson, Gerard Schmid, Nick Stacey, Joseph Perez, John Maltabes, S. V. Sreenivasan, Douglas J. Resnick, Akjko Fujii, Yuko Sakai, Shiho Sasaki, and Naoya Hayashi
    pp. 2410-2415
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (2222 kB)  GZipped PS   ]    Order
  • Contact angles in a thermal imprint process
    Nicolas Bogdanski, Saskia Möllenbeck, and Hella-Christin Scheer
    pp. 2416-2420
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (344 kB)  GZipped PS   ]    Order
  • Metal transfer assisted nanolithography on rigid and flexible substrates
    Myung-Gyu Kang and L. Jay Guo
    pp. 2421-2425
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (833 kB)  GZipped PS   ]    Order
  • Easy duplication of stamps using UV-cured fluoro-silsesquioxane for nanoimprint lithography
    Carlos Pina-Hernandez, Peng-Fei Fu, and L. Jay Guo
    pp. 2426-2429
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (277 kB)  GZipped PS   ]    Order
  • Comparison of monomer and polymer resists in thermal nanoimprint lithography
    M. Zelsmann, K. Perez Toralla, J. De Girolamo, D. Boutry, and C. Gourgon
    pp. 2430-2433
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (356 kB)  GZipped PS   ]    Order

  • Process Simulation
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Exploration of etch step interactions in the dual patterning process for process modeling
    Lawrence S. Melvin, III, Brian S. Ward, Hua Song, Sang Uhk Rhie, Kevin D. Lucas, Vincent Wiaux, Staf Verhaegen, and Mireille Maenhoudt
    pp. 2434-2440
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1095 kB)  GZipped PS   ]    Order
  • Pattern specific optical models
    Edita Tejnil, Konstantinos Adam, Michael C. Lam, and Gabriel Berger
    pp. 2441-2446
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (616 kB)  GZipped PS   ]    Order

  • Beam Induced Processing
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Catalyst patterning for carbon nanotube growth on elevating posts by self-aligned double-layer electron beam lithography
    M. Häffner, A. Heeren, A. Haug, E. Schuster, A. Sagar, M. Fleischer, H. Peisert, M. Burghard, T. Chassé, and D. P. Kern
    pp. 2447-2450
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (598 kB)  GZipped PS   ]    Order
  • Direct patterning of plasma enhanced chemical vapor deposition silicon dioxide by electron beam lithography
    Devin K. Brown
    pp. 2451-2454
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (577 kB)  GZipped PS   ]    Order
  • Preferential orientation effects in partial melt laser crystallization of silicon
    D. J. Witte, M. P. A. Masbou, F. Crnogorac, R. F. W. Pease, and D. S. Pickard
    pp. 2455-2459
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (602 kB)  GZipped PS   ]    Order
  • Electron-beam-induced deposition of platinum at low landing energies
    A. Botman, D. A. M. de Winter, and J. J. L. Mulders
    pp. 2460-2463
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (393 kB)  GZipped PS   ]    Order
  • Investigation of morphological changes in platinum-containing nanostructures created by electron-beam-induced deposition
    A. Botman, M. Hesselberth, and J. J. L. Mulders
    pp. 2464-2467
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (557 kB)  GZipped PS   ]    Order
  • Ion track lithography and graphitic nanowires in diamondlike carbon
    J. Krauser, A.-K. Nix, H.-G. Gehrke, H. Hofsäss, C. Trautmann, A. Weidinger, F. Wünsch, and J. Bruns
    pp. 2468-2472
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (432 kB)  GZipped PS   ]    Order
  • Optical properties of sputtered fluorinated ethylene propylene and its application to surface-plasmon resonance sensor fabrication
    P. D. Keathley and J. T. Hastings
    pp. 2473-2477
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (362 kB)  GZipped PS   ]    Order
  • Relief and trench formation on chalcogenide thin films using electron beams
    G. B. Hoffman, W.-C. Liu, W. Zhou, R. Sooryakumar, P. Boolchand, and R. M. Reano
    pp. 2478-2483
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (376 kB)  GZipped PS   ]    Order

  • Diblock Copolymers
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Impact of trench width roughness on the graphoepitaxial assembly of block copolymers
    Adam M. Welander, Paul F. Nealey, Heidi Cao, and Robert Bristol
    pp. 2484-2488
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (394 kB)  GZipped PS   ]    Order
  • Si-containing block copolymers for self-assembled nanolithography
    C. A. Ross, Y. S. Jung, V. P. Chuang, F. Ilievski, J. K. W. Yang, I. Bita, E. L. Thomas, Henry I. Smith, K. K. Berggren, G. J. Vancso, and J. Y. Cheng
    pp. 2489-2494
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (897 kB)  GZipped PS   ]    Order
  • Directed assembly of asymmetric ternary block copolymer-homopolymer blends using symmetric block copolymer into checkerboard trimming chemical pattern
    Huiman Kang, Gordon S. W. Craig, and Paul F. Nealey
    pp. 2495-2499
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (942 kB)  GZipped PS   ]    Order
  • The replication of three dimensional structures using UV curable nanoimprint lithography
    K. Mohamed, M. M. Alkaisi, and R. J. Blaikie
    pp. 2500-2503
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (431 kB)  GZipped PS   ]    Order
  • In situ characterization of block copolymer ordering on chemically nanopatterned surfaces by time-resolved small angle x-ray scattering
    K. O. Stuen, C. Liu, A. M. Welander, G. Liu, J. J. de Pablo, P. F. Nealey, D. K. Satapathy, K. Nygård, O. Bunk, H. H. Solak, and J. F. van der Veen
    pp. 2504-2508
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (402 kB)  GZipped PS   ]    Order

  • Directed Self Assembly
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Carbon nanotube–based magnetic actuation of origami membranes
    Hyun Jin In, Hyungwoo Lee, Anthony J. Nichol, Sang-Gook Kim, and George Barbastathis
    pp. 2509-2512
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (434 kB)  GZipped PS   ]    Order
  • Assisted convective-capillary force assembly of gold colloids in a microfluidic cell: Plasmonic properties of deterministic nanostructures
    T. Pinedo Rivera, O. Lecarme, J. Hartmann, E. Rossitto, K. Berton, and D. Peyrade
    pp. 2513-2519
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1100 kB)  GZipped PS   ]    Order
  • Pulsed laser techniques for nanographoepitaxy
    F. Crnogorac, D. J. Witte, and R. F. W. Pease
    pp. 2520-2523
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (524 kB)  GZipped PS   ]    Order
  • Directed self-assembly of individual vertically aligned carbon nanotubes
    Amit Goyal, Sheng Liu, Zafar Iqbal, Linus A. Fetter, and Reginald C. Farrow
    pp. 2524-2528
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (538 kB)  GZipped PS   ]    Order

  • New Nanopatterning Techniques
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Three-dimensional alignment with 10  nm order accuracy in electron-beam lithography on rotated sample for three-dimensional nanofabrication
    Kenji Yamazaki and Hiroshi Yamaguchi
    pp. 2529-2533
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (689 kB)  GZipped PS   ]    Order
  • Microscale self-assembly using molten alloys with different melting points
    Christopher J. Morris and Madan Dubey
    pp. 2534-2538
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (643 kB)  GZipped PS   ]    Order
  • Chip-based microfabricated electrospinning nozzles
    Leon M. Bellan, Chris Alpha, Tom Corso, Jack Henion, and Harold G. Craighead
    pp. 2539-2542
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (351 kB)  GZipped PS   ]    Order

  • Cell/Pattern Interactions
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • High-aspect-ratio plasma-induced nanotextured poly(dimethylsiloxane) surfaces with enhanced protein adsorption capacity
    M. E. Vlachopoulou, P. S. Petrou, S. E. Kakabakos, A. Tserepi, and E. Gogolides
    pp. 2543-2548
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (635 kB)  GZipped PS   ]    Order
  • Fabrication of elastomer pillar arrays with modulated stiffness for cellular force measurements
    S. Ghassemi, N. Biais, K. Maniura, S. J. Wind, M. P. Sheetz, and J. Hone
    pp. 2549-2553
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (485 kB)  GZipped PS   ]    Order
  • Optimizing substrate disorder for bone tissue engineering of mesenchymal stem cells
    Nikolaj Gadegaard, Matthew J. Dalby, Mathis O. Riehle, and Chris D. W. Wilkinson
    pp. 2554-2557
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (269 kB)  GZipped PS   ]    Order
  • Nanomodified surfaces and guidance of nerve cell processes
    Fredrik Johansson, Waldemar Hällström, Per Gustavsson, Lars Wallman, Christelle Prinz, Lars Montelius, and Martin Kanje
    pp. 2558-2561
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (434 kB)  GZipped PS   ]    Order

  • Nanopattering and Energy
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Imprinted large-scale high density polymer nanopillars for organic solar cells
    Mukti Aryal, Fatih Buyukserin, Kamil Mielczarek, Xiao-Mei Zhao, Jinming Gao, Anvar Zakhidov, and Wenchuang (Walter) Hu
    pp. 2562-2566
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (509 kB)  GZipped PS   ]    Order

  • Nanobio Devices
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Direct write electron beam patterning of DNA complex thin films
    R. A. Jones, W. X. Li, H. Spaeth, and A. J. Steckl
    pp. 2567-2571
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (490 kB)  GZipped PS   ]    Order
  • Hybridization sensing by electrical enhancement with nanoparticles in nanogap
    Chun-Chi Chen, Fu-Hsiang Ko, Edward Yi Chang, Feng-Chih Chang, and Shiao-Wei Kuo
    pp. 2572-2577
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (490 kB)  GZipped PS   ]    Order
  • Control of DNA motion in microchannels integrated with dual electrodes
    B. L. Cardozo and S. W. Pang
    pp. 2578-2582
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (465 kB)  GZipped PS   ]    Order

  • Nanoelectronics
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Hybrid high resolution lithography (e-beam/deep ultraviolet) and etch process for the fabrication of stacked nanowire metal oxide semiconductor field effect transistors
    S. Pauliac-Vaujour, C. Comboroure, C. Vizioz, S. Barnola, P. Brianceau, V. Maffini Alvaro, C. Dupré, and T. Ernst
    pp. 2583-2586
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1071 kB)  GZipped PS   ]    Order
  • Silicon single-electron transistor with oxide tunnel barriers fabricated using chemical mechanical polishing
    Vishwanath Joshi, Alexei O. Orlov, and Gregory L. Snider
    pp. 2587-2591
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (605 kB)  GZipped PS   ]    Order
  • Prospects of free electron analog to digital technology
    Rafael Aldana and R. Fabian Pease
    pp. 2592-2595
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (609 kB)  GZipped PS   ]    Order
  • Single atom doping for quantum device development in diamond and silicon
    C. D. Weis, A. Schuh, A. Batra, A. Persaud, I. W. Rangelow, J. Bokor, C. C. Lo, S. Cabrini, E. Sideras-Haddad, G. D. Fuchs, R. Hanson, D. D. Awschalom, and T. Schenkel
    pp. 2596-2600
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (467 kB)  GZipped PS   ]    Order
  • ZnO nanostructures grown on zinc nanocones by thermal oxidation
    Liang-Chiun Chao, Chung-Chi Liau, Syuan-Jhih Lin, and Jun-Wei Lee
    pp. 2601-2603
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (459 kB)  GZipped PS   ]    Order

  • Patterned Media/Data Storage
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Toward 1  Tdot/in.2 nanoimprint lithography for magnetic bit-patterned media: Opportunities and challenges
    XiaoMin Yang, Yuan Xu, Carl Seiler, Lei Wan, and Shuaigang Xiao
    pp. 2604-2610
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1128 kB)  GZipped PS   ]    Order
  • Potential of a rotary stage electron beam mastering system for fabricating patterned magnetic media
    T. Miyazaki, K. Hayashi, K. Kobayashi, Y. Kuba, H. Ohyi, T. Obara, O. Mizuta, N. Murayama, N. Tanaka, Y. Kawamura, and H. Uemoto
    pp. 2611-2618
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (2222 kB)  GZipped PS   ]    Order

  • Nanomachine, Nanomanipulation, and NEMS
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Electrothermal actuation studies on silicon carbide resonators
    Enrico Mastropaolo and Rebecca Cheung
    pp. 2619-2623
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (447 kB)  GZipped PS   ]    Order
  • Novel SU-8 optical waveguide microgripper for simultaneous micromanipulation and optical detection
    Roberto R. Panepucci and Jose A. Martinez
    pp. 2624-2627
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (903 kB)  GZipped PS   ]    Order
  • Resistivity change of the diamondlike carbon, deposited by focused-ion-beam chemical vapor deposition, induced by the annealing treatment
    Reo Kometani, Toshinari Ichihashi, Kazuhiro Kanda, Tsuneo Suzuki, Koichi Niihara, Sunao Ishihara, Takashi Kaito, and Shinji Matsui
    pp. 2628-2631
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (130 kB)  GZipped PS   ]    Order
  • Nanofabrication of super-high-aspect-ratio structures in hydrogen silsesquioxane from direct-write e-beam lithography and hot development
    L. E. Ocola and V. R. Tirumala
    pp. 2632-2635
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (773 kB)  GZipped PS   ]    Order
  • [ Previous / Issue | Available Volumes | Issue Index | Top of Page ]

    The above Table of Contents is for individual, noncommercial uses only. A limited license is granted to individuals to print or electronically store this document on their personal computer or workstation. Redistribution beyond person-to-person exchanges requires consent from the Journal of Vacuum Society and Technology B (web@jvstb.org).
    Copyright 2008 Journal of Vacuum Society and Technology B