Sedemos News

viernes, 1 de febrero de 2008

Table of Contents Alert for Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures

Journal of Vacuum Society and Technology B

This is a delivery from the Scitation Table of Contents E-mail Alerting Service.

You may also visit http://scitation.aip.org/jvstb/ to browse the contents of this issue, complete with links to abstracts and full text. Please note that you must be a subscriber to enjoy full-text access.

This Table of Contents is for individual, noncommercial uses only. A limited license is granted to individuals to print or electronically store this document on their personal computer or workstation.

INDIVIDUALS RECEIVING THIS TABLE OF CONTENTS ARE NOT GRANTED LICENSE TO ALTER, REDISTRIBUTE, OR CHARGE FOR A COPY OF THIS DOCUMENT, OR ANY OF ITS COMPONENTS.

If you would like to be removed from the list of recipients for this Table of Contents alert, please go to http://jvstb.aip.org/alert.jsp, enter your email address and select the "unsubscribe" option.


Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures -- January 2008

Volume 26, Issue 1 , pp. L1-442

[ Previous Issue | Available Volumes | Issue Index ]

  • Letters
  • Regular Articles
  • Brief Reports and Comments
  • INTERNATIONAL WORKSHOP ON INSIGHT IN SEMICONDUCTOR DEVICE FABRICATION, METROLOGY, AND MODELING (INSIGHT 2007)
  • Letters

  • Recent advance in protection technology for extreme ultraviolet lithography masks under low-pressure condition
    Jung Hyeun Kim
    pp. L1-L6
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (339 kB)  GZipped PS   ]    Order
  • Boron nanobelts grown under intensive ion bombardment
    W. T. Li, R. Boswell, and J. D. Fitz Gerald
    pp. L7-L9
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (226 kB)  GZipped PS   ]    Order
  • Fabrication of ideally ordered anodic porous alumina with large area by vacuum deposition of Al onto mold
    Kazuyuki Nishio, Takashi Yanagishita, Sho Hatakeyama, Hiroaki Maegawa, and Hideki Masuda
    pp. L10-L12
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (441 kB)  GZipped PS   ]    Order
  • Flexible polymeric rib waveguide with self-align couplers system
    Cheng-Sheng Huang and Wei-Chih Wang
    pp. L13-L18
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (512 kB)  GZipped PS   ]    Order
  • Reducing imaging defects in high-resolution photolithography
    Fei Wang and William A. Stanton
    pp. L19-L22
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (327 kB)  GZipped PS   ]    Order
  • Regular Articles

  • Undercut structure fabricated by complementary-structure micropatterning technique for the passive-matrix display of organic light-emitting diodes
    Rubo Xing, Yu Xuan, Dongge Ma, and Yanchun Han
    pp. 1-5
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (443 kB)  GZipped PS   ]    Order
  • Fabrication and performance of nanoscale ultrasmooth programed defects for extreme ultraviolet lithography
    D. L. Olynick, F. Salmassi, J. A. Liddle, P. B. Mirkarimi, E. Spiller, S. L. Baker, and J. Robinson
    pp. 6-10
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (725 kB)  GZipped PS   ]    Order
  • Studies of fluorocarbon film deposition and its correlation with etched trench sidewall angle by employing a gap structure using C4F8/Ar and CF4/H2 based capacitively coupled plasmas
    Li Ling, X. Hua, L. Zheng, G. S. Oehrlein, E. A. Hudson, and P. Jiang
    pp. 11-22
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (700 kB)  GZipped PS   ]    Order
  • Mobility-diffusivity relationship for semiconductor nanowires
    Arif Khan and S. Noor Mohammad
    pp. 23-27
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (316 kB)  GZipped PS   ]    Order
  • Double oxidation scheme for tunnel junction fabrication
    T. Holmqvist, M. Meschke, and J. P. Pekola
    pp. 28-31
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (263 kB)  GZipped PS   ]    Order
  • Turn-on field distribution of field-emitting sites in carbon nanotube film: Study with luminescent image
    Weihua Liu, Fanguang Zeng, Li Xin, Changchun Zhu, and Yongning He
    pp. 32-35
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (685 kB)  GZipped PS   ]    Order
  • Exposure latitude of deep-ultraviolet conformable contact photolithography
    C. P. Fucetola, D. J. D. Carter, and J. G. Goodberlet
    pp. 36-40
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (500 kB)  GZipped PS   ]    Order
  • Structural and electrical investigation of laser annealed (Pb,Sr)TiO3 thin films
    Jyh-Liang Wang, Yi-Sheng Lai, Sz-Chian Liou, Bi-Shiou Chiou, Chueh-Kuei Jan, and Huang-Chung Cheng
    pp. 41-46
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (414 kB)  GZipped PS   ]    Order
  • Enhanced local oxidation of silicon using a conducting atomic force microscope in water
    A. M. Hilton, K. W. Jacobson, B. P. Lynch, and G. J. Simpson
    pp. 47-51
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (382 kB)  GZipped PS   ]    Order
  • Optical properties of n-doped Ga1−xMnxN epitaxial layers grown by metal-organic chemical-vapor deposition in mid and far (5−50  µm) IR range
    A. B. Weerasekara, Z. G. Hu, N. Dietz, A. G. U. Perera, A. Asghar, M. H. Kane, M. Strassburg, and I. T. Ferguson
    pp. 52-55
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (330 kB)  GZipped PS   ]    Order
  • GaSb based midinfrared equilateral-triangle-resonator semiconductor lasers
    S.-Q. Yu, Y. Cao, S. R. Johnson, Y.-H. Zhang, and Y.-Z. Huang
    pp. 56-61
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (110 kB)  GZipped PS   ]    Order
  • Grayscale lithography by a polymer photomask doped with laser dye
    N. S. Korivi, Y. X. Zhou, and L. Jiang
    pp. 62-66
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (317 kB)  GZipped PS   ]    Order
  • Improvement of the wiggling profile of spin-on carbon hard mask by H2 plasma treatment
    M. Tadokoro, K. Yonekura, K. Yoshikawa, Y. Ono, T. Ishibashi, T. Hanawa, N. Fujiwara, T. Matsunobe, and K. Matsuda
    pp. 67-71
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (665 kB)  GZipped PS   ]    Order
  • Nonlocal reduced boron diffusivity in silicon below strained Si1−xGex surfaces
    Malcolm S. Carroll, Y. S. Suh, and R. Levy
    pp. 72-75
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (461 kB)  GZipped PS   ]    Order
  • Nanostructuring GaN using microsphere lithography
    W. N. Ng, C. H. Leung, P. T. Lai, and H. W. Choi
    pp. 76-79
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (419 kB)  GZipped PS   ]    Order
  • Flare-variation compensation for 32  nm line and space pattern for device manufacturing on extreme-ultraviolet lithography
    H. Aoyama, N. Iriki, and T. Tanaka
    pp. 80-83
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (522 kB)  GZipped PS   ]    Order
  • Potential of phase-shifted optical proximity correction for 65  nm T-shaped pattern in high numerical aperture lithography
    Songbo Gao and Yanqiu Li
    pp. 84-88
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (493 kB)  GZipped PS   ]    Order
  • Atomic diffusion and interface electronic structure at In0.49Ga0.51P/GaAs heterojunctions
    P. E. Smith, M. Lueck, S. A. Ringel, and L. J. Brillson
    pp. 89-95
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (646 kB)  GZipped PS   ]    Order
  • Study of pretreatment prior to silicon-oxycarbide deposition on Cu interconnect
    Chun-Chieh Huang, Jow-Lay Huang, Ying-Lang Wang, and Kuang-Yao Lo
    pp. 96-101
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (757 kB)  GZipped PS   ]    Order
  • Surface structure characterization of nanodiamond thin film for electronic field emission applications
    F. Y. Xie, W. G. Xie, J. Chen, X. Liu, D. Y. Lu, and W. H. Zhang
    pp. 102-105
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (92 kB)  GZipped PS   ]    Order
  • Study of high-brightness flat-panel lighting source using carbon-nanotube cathode
    Yu Zhang, S. Z. Deng, C. Y. Duan, Jun Chen, and N. S. Xu
    pp. 106-109
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (311 kB)  GZipped PS   ]    Order
  • Effective energy densities in KrF excimer laser reformation as a sidewall smoothing technique
    Eih-Zhe Liang, Shih-Che Hung, Ya-Ping Hsieh, and Ching-Fuh Lin
    pp. 110-116
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (419 kB)  GZipped PS   ]    Order
  • Facet formation and lateral overgrowth of selective Ge epitaxy on SiO2-patterned Si(001) substrates
    Ji-Soo Park, Jie Bai, Michael Curtin, Mark Carroll, and Anthony Lochtefeld
    pp. 117-121
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (252 kB)  GZipped PS   ]    Order
  • Fabrication of silicon kinoform lenses for hard x-ray focusing by electron beam lithography and deep reactive ion etching
    Aaron Stein, Kenneth Evans-Lutterodt, Natasha Bozovic, and Ashley Taylor
    pp. 122-127
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (547 kB)  GZipped PS   ]    Order
  • Photoresist characterization using double exposures with interference lithography
    Thomas B. O'Reilly and Henry I. Smith
    pp. 128-131
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (160 kB)  GZipped PS   ]    Order
  • Novel hydrostatic pressuring mechanism for soft UV-imprinting processes
    Fang-Sung Cheng, Sen-Yeu Yang, and Chien-Chang Chen
    pp. 132-136
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (546 kB)  GZipped PS   ]    Order
  • Analysis of temperature-dependent barrier heights in erbium-silicided Schottky diodes
    Myungsim Jun, Moongyu Jang, Yarkeon Kim, Cheljong Choi, Taeyoub Kim, Soonyoung Oh, and Seongjae Lee
    pp. 137-140
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (120 kB)  GZipped PS   ]    Order
  • Effect of temperature on copper damascene chemical mechanical polishing process
    Veera Raghava Kakireddy, Subrahmanya Mudhivarthi, and Ashok Kumar
    pp. 141-150
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (668 kB)  GZipped PS   ]    Order
  • Patterned wafer defect density analysis of step and flash imprint lithography
    I. McMackin, W. Martin, J. Perez, K. Selinidis, J. Maltabes, F. Xu, D. Resnick, and S. V. Sreenivasan
    pp. 151-155
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (475 kB)  GZipped PS   ]    Order
  • Soft photocurable nanoimprint lithography for compound semiconductor nanostructures
    K. Meneou and K. Y. Cheng
    pp. 156-158
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (377 kB)  GZipped PS   ]    Order
  • Electrical conductivity of ultra-thin silicon nanowires
    Nabil Rochdi, Didier Tonneau, Franck Jandard, Hervé Dallaporta, Viatcheslav Safarov, and Jacques Gautier
    pp. 159-163
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (314 kB)  GZipped PS   ]    Order
  • Erbium silicide formation and its contact properties on Si(100)
    W. Huang, G. P. Ru, Y. L. Jiang, X. P. Qu, B. Z. Li, R. Liu, and F. Lu
    pp. 164-170
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (499 kB)  GZipped PS   ]    Order
  • Luminescence uniformity studies on dendrite bamboo carbon submicron-tube field-emitter arrays
    Xin Li, Fuqiang Ding, Weihua Liu, Yongning He, and Changchun Zhu
    pp. 171-174
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (368 kB)  GZipped PS   ]    Order
  • Structural and electrical studies of conductive nanowires prepared by focused ion beam induced deposition
    A. Reguer, F. Bedu, D. Tonneau, H. Dallaporta, M. Prestigiacomo, A. Houel, and P. Sudraud
    pp. 175-180
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (719 kB)  GZipped PS   ]    Order
  • Plasma reactor dry cleaning strategy after TiN, TaN and HfO2 etching processes
    R. Ramos, G. Cunge, and O. Joubert
    pp. 181-188
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (406 kB)  GZipped PS   ]    Order
  • Hybrid nanofabrication processes utilizing diblock copolymer nanotemplate prepared by self-assembled monolayer based surface neutralization
    Su-Jin Kim, W. J. Maeng, S. K. Lee, D. H. Park, Sung Hwan Bang, Hyungjun Kim, and Byeong-Hyeok Sohn
    pp. 189-194
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (581 kB)  GZipped PS   ]    Order
  • Measurements of local optical properties of Si-doped GaAs (110) surfaces using modulation scanning tunneling microscope cathodoluminescence spectroscopy
    Kentaro Watanabe, Yoshiaki Nakamura, and Masakazu Ichikawa
    pp. 195-200
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (498 kB)  GZipped PS   ]    Order
  • Inelastic deformability of nanopillar by focused-ion-beam chemical vapor deposition
    Yoji Shibutani and Toshiyuki Yoshioka
    pp. 201-205
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (431 kB)  GZipped PS   ]    Order
  • Fabrication of single-crystalline LiTaO3 film on silicon substrate using thin film transfer technology
    Weili Liu, Da Zhan, Xiaobo Ma, Zhitang Song, and Songlin Feng
    pp. 206-208
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (377 kB)  GZipped PS   ]    Order
  • Description of field emission current/voltage characteristics in terms of scaled barrier field values (f-values)
    Richard G. Forbes
    pp. 209-213
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (137 kB)  GZipped PS   ]    Order
  • Low-temperature c-axis oriented growth of nanocrystalline ZnO thin films on Si substrates by plasma assisted pulsed laser deposition
    J. Shao, Y. Q. Shen, J. Sun, N. Xu, D. Yu, Y. F. Lu, and J. D. Wu
    pp. 214-218
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (363 kB)  GZipped PS   ]    Order
  • Mechanistic study of plasma damage of low k dielectric surfaces
    J. Bao, H. Shi, J. Liu, H. Huang, P. S. Ho, M. D. Goodner, M. Moinpour, and G. M. Kloster
    pp. 219-226
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (319 kB)  GZipped PS   ]    Order
  • Surface plasmon assisted contact scheme nanoscale photolithography using an UV lamp
    Dongbing Shao and Shaochen Chen
    pp. 227-231
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (443 kB)  GZipped PS   ]    Order
  • Low energy electron-excited nanoscale luminescence spectroscopy studies of intrinsic defects in HfO2 and SiO2–HfO2–SiO2–Si stacks
    Y. M. Strzhemechny, M. Bataiev, S. P. Tumakha, S. H. Goss, C. L. Hinkle, C. C. Fulton, G. Lucovsky, and L. J. Brillson
    pp. 232-243
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (313 kB)  GZipped PS   ]    Order
  • Novel photocurable epoxy siloxane polymers for photolithography and imprint lithography applications
    Pei-I Wang, O. Nalamasu, Rajat Ghoshal, Ram Ghoshal, Charles D. Schaper, Andrew Li, and Toh-Ming Lu
    pp. 244-248
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (438 kB)  GZipped PS   ]    Order
  • Proximity effects in nanoscale patterning with high resolution electron beam induced deposition
    Peter A. Crozier
    pp. 249-254
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (613 kB)  GZipped PS   ]    Order
  • Effect of bis-(3-sodiumsulfopropyl disulfide) byproducts on copper defects after chemical mechanical polishing
    Chi-Cheng Hung, Wen-Hsi Lee, Shao-Yu Hu, Shih-Chieh Chang, Kei-Wei Chen, and Ying-Lang Wang
    pp. 255-259
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (584 kB)  GZipped PS   ]    Order
  • Brief Reports and Comments

  • Hot embossing by Joule heating
    J. C. Lee, I. C. Leu, K. L. Lai, and M. H. Hon
    pp. 260-263
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (318 kB)  GZipped PS   ]    Order
  • INTERNATIONAL WORKSHOP ON INSIGHT IN SEMICONDUCTOR DEVICE FABRICATION, METROLOGY, AND MODELING (INSIGHT 2007)


    Plenary Session
    [ Next Subject | Issue Index | Top / Bottom of Page]

  • Junction formation and its device impact through the nodes: From single to coimplants, from beam line to plasma, from single ions to clusters, and from rapid thermal annealing to laser thermal processing
    Hans-Joachim L. Gossmann
    pp. 267-272
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (109 kB)  GZipped PS   ]    Order
  • Simulation of doping profile formation: Historical evolution, and present strengths and weaknesses
    C. Zechner and V. Moroz
    pp. 273-280
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (103 kB)  GZipped PS   ]    Order

  • Fabrication: Advanced Anneals and Implant
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Ultrashallow junctions formed by C coimplantation with spike plus submelt laser annealing
    S. B. Felch, E. Collart, V. Parihar, S. Thirupapuliyur, R. Schreutelkamp, B. J. Pawlak, T. Hoffmann, S. Severi, P. Eyben, W. Vandervorst, and T. Noda
    pp. 281-285
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (469 kB)  GZipped PS   ]    Order
  • Realization of ultrashallow junctions by plasma immersion ion implantation and laser annealing
    V. Vervisch, H. Etienne, F. Torregrosa, L. Roux, L. Ottaviani, M. Pasquinelli, T. Sarnet, and P. Delaporte
    pp. 286-292
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (970 kB)  GZipped PS   ]    Order
  • Optimum activation and diffusion with a combination of spike and flash annealing
    S. Paul, W. Lerch, J. Chan, S. McCoy, J. Gelpey, F. Cristiano, F. Severac, P. F. Fazzini, and D. Bolze
    pp. 293-297
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (518 kB)  GZipped PS   ]    Order

  • New Approaches to Dopant Profiling
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Characterization of an ultrashallow junction structure using angle resolved x-ray photoelectron spectroscopy and medium energy ion scattering
    G. Saheli, G. Conti, Y. Uritsky, M. A. Foad, C. R. Brundle, P. Mack, D. Kouzminov, M. Werner, and J. A. van den Berg
    pp. 298-304
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (681 kB)  GZipped PS   ]    Order

  • Poster Session
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Bragg diffraction, synchrotron x-ray reflectance, and x-ray photoelectron spectroscopy studies of low temperature plasma oxidation of native SiO2 on silicon on insulator
    M. Bhargava, W. Donner, A. K. Srivastava, and J. C. Wolfe
    pp. 305-309
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (354 kB)  GZipped PS   ]    Order
  • Advances in optical carrier profiling through high-frequency modulated optical reflectance
    Janusz Bogdanowicz, Fabian Dortu, Trudo Clarysse, Wilfried Vandervorst, Derrick Shaughnessy, Alex Salnik, Lena Nicolaides, and Jon Opsal
    pp. 310-316
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (514 kB)  GZipped PS   ]    Order
  • Advanced carrier depth profiling on Si and Ge with micro four-point probe
    Trudo Clarysse, Pierre Eyben, Brigitte Parmentier, Benny Van Daele, Alessandra Satta, Wilfried Vandervorst, Rong Lin, Dirch Hjorth Petersen, and Peter Folmer Nielsen
    pp. 317-321
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (122 kB)  GZipped PS   ]    Order
  • Impact of band gap narrowing and surface recombination on photoelectrothermal modulated optical reflectance power curves
    Fabian Dortu, Janusz Bogdanowicz, Trudo Clarysse, and Wilfried Vandervorst
    pp. 322-332
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (913 kB)  GZipped PS   ]    Order
  • Effect of low Ge content on B diffusion in amorphous SiGe alloys
    L. A. Edelman, R. G. Elliman, L. Rubin, L. Washington, and K. S. Jones
    pp. 333-337
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (845 kB)  GZipped PS   ]    Order
  • Impact of the environmental conditions on the electrical characteristics of scanning spreading resistance microscopy
    Pierre Eyben, Jay Mody, Sri Charan Vemula, and Wilfried Vandervorst
    pp. 338-341
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (362 kB)  GZipped PS   ]    Order
  • Defect evolution after germanium preamorphization in silicon on insulator structures
    P. F. Fazzini, F. Cristiano, C. Dupré, A. Claverie, T. Ernst, and M. Gavelle
    pp. 342-346
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (390 kB)  GZipped PS   ]    Order
  • Interaction of the end of range defect band with the upper buried oxide interface for B and BF2 implants in Si and silicon on insulator with and without preamorphizing implant
    M. Kah, A. J. Smith, J. J. Hamilton, J. Sharp, S. H. Yeong, B. Colombeau, R. Gwilliam, R. P. Webb, and K. J. Kirkby
    pp. 347-350
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (379 kB)  GZipped PS   ]    Order
  • Toward extending the capabilities of scanning spreading resistance microscopy for fin field-effect-transistor-based structures
    Jay Mody, Pierre Eyben, Emmanuel Augendre, Olivier Richard, and Wilfried Vandervorst
    pp. 351-356
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1037 kB)  GZipped PS   ]    Order
  • Level set modeling of the orientation dependence of solid phase epitaxial regrowth
    Saurabh Morarka, N. G. Rudawski, and Mark E. Law
    pp. 357-361
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (872 kB)  GZipped PS   ]    Order
  • Comparative study of size dependent four-point probe sheet resistance measurement on laser annealed ultra-shallow junctions
    Dirch Hjorth Petersen, Rong Lin, Torben Mikael Hansen, Erik Rosseel, Wilfried Vandervorst, Christian Markvardsen, Daniel Kjær, and Peter Folmer Nielsen
    pp. 362-367
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (510 kB)  GZipped PS   ]    Order
  • Boron cathodic arc as an ion source for shallow junction ion implantation of boron
    J. M. Williams, C. C. Klepper, D. J. Chivers, R. C. Hazelton, and J. J. Moschella
    pp. 368-372
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (378 kB)  GZipped PS   ]    Order

  • Defect Formation, Evolution, and Impact
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Properties of ultralow energy boron implants using octadecaborane
    M. S. Ameen, L. M. Rubin, M. A. Harris, and C. Huynh
    pp. 373-376
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (638 kB)  GZipped PS   ]    Order
  • Evolution of fluorine and boron profiles during annealing in crystalline Si
    Pedro López, Lourdes Pelaz, Ray Duffy, P. Meunier-Beillard, F. Roozeboom, K. van der Tak, P. Breimer, J. G. M. van Berkum, M. A. Verheijen, and M. Kaiser
    pp. 377-381
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (460 kB)  GZipped PS   ]    Order
  • B clustering in amorphous Si
    D. De Salvador, G. Bisognin, M. Di Marino, E. Napolitani, A. Carnera, S. Mirabella, E. Pecora, E. Bruno, F. Priolo, H. Graoui, M. A. Foad, and F. Boscherini
    pp. 382-385
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (263 kB)  GZipped PS   ]    Order
  • He implantation to control B diffusion in crystalline and preamorphized Si
    E. Bruno, S. Mirabella, F. Priolo, K. Kuitunen, F. Tuomisto, J. Slotte, F. Giannazzo, C. Bongiorno, V. Raineri, and E. Napolitani
    pp. 386-390
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (325 kB)  GZipped PS   ]    Order
  • Antimony for n-type metal oxide semiconductor ultrashallow junctions in strained Si: A superior dopant to arsenic?
    N. S. Bennett, A. J. Smith, R. M. Gwilliam, R. P. Webb, B. J. Sealy, N. E. B. Cowern, L. O'Reilly, and P. J. McNally
    pp. 391-395
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (290 kB)  GZipped PS   ]    Order

  • Non-Planar Structures
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Probing doping conformality in fin shaped field effect transistor structures using resistors
    W. Vandervorst, M. Jurczak, J.-L. Everaert, B. J. Pawlak, R. Duffy, J.-I. Del-Agua-Bomiquel, and T. Poon
    pp. 396-401
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (537 kB)  GZipped PS   ]    Order
  • Doping fin field-effect transistor sidewalls: Impurity dose retention in silicon due to high angle incident ion implants and the impact on device performance
    R. Duffy, G. Curatola, B. J. Pawlak, G. Doornbos, K. van der Tak, P. Breimer, J. G. M. van Berkum, and F. Roozeboom
    pp. 402-407
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (432 kB)  GZipped PS   ]    Order

  • High Resolution 2-D Metrology with SPM
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Application of electron holography to analysis of submicron structures
    M. A. Gribelyuk, A. G. Domenicucci, P. A. Ronsheim, J. S. McMurray, and O. Gluschenkov
    pp. 408-414
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (943 kB)  GZipped PS   ]    Order
  • Carrier concentration profiling on oxidized surfaces of Si device cross sections by resonant electron tunneling scanning probe spectroscopy
    L. Bolotov, M. Nishizawa, T. Kanayama, and Y. Miura
    pp. 415-419
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (464 kB)  GZipped PS   ]    Order

  • Enhanced 1D Metrology
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Insights in junction photovoltage based sheet resistance measurements for advanced complementary metal-oxide semiconductor
    Trudo Clarysse, Alain Moussa, Thomas Zangerle, Frederic Schaus, Wilfried Vandervorst, Vladimir Faifer, and Michael Current
    pp. 420-424
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (396 kB)  GZipped PS   ]    Order

  • Germanium
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Defects in Ge and Si caused by 1  MeV Si+ implantation
    D. P. Hickey, Z. L. Bryan, K. S. Jones, R. G. Elliman, and E. E. Haller
    pp. 425-429
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (449 kB)  GZipped PS   ]    Order
  • P implantation into preamorphized germanium and subsequent annealing: Solid phase epitaxial regrowth, P diffusion, and activation
    M. Posselt, B. Schmidt, W. Anwand, R. Grötzschel, V. Heera, A. Mücklich, C. Wündisch, W. Skorupa, H. Hortenbach, S. Gennaro, M. Bersani, D. Giubertoni, A. Möller, and H. Bracht
    pp. 430-434
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (443 kB)  GZipped PS   ]    Order

  • Stress Effects
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Influence of As on the formation of mask-edge defects during stressed solid phase epitaxy in patterned Si wafers
    N. G. Rudawski, K. S. Jones, and R. G. Elliman
    pp. 435-438
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (225 kB)  GZipped PS   ]    Order
  • Dissolution of extended defects in strained silicon
    Victor Moroz, Ignacio Martin-Bragado, Susan Felch, Faran Nouri, Chris Olsen, and Kevin S. Jones
    pp. 439-442
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (412 kB)  GZipped PS   ]    Order
  • [ Previous / Issue | Available Volumes | Issue Index | Top of Page ]

    The above Table of Contents is for individual, noncommercial uses only. A limited license is granted to individuals to print or electronically store this document on their personal computer or workstation. Redistribution beyond person-to-person exchanges requires consent from the Journal of Vacuum Society and Technology B (web@jvstb.org).
    Copyright 2008 Journal of Vacuum Society and Technology B