Sedemos News

martes, 11 de diciembre de 2007

Table of Contents Alert for Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures

Journal of Vacuum Society and Technology B

This is a delivery from the Scitation Table of Contents E-mail Alerting Service.

You may also visit http://scitation.aip.org/jvstb/ to browse the contents of this issue, complete with links to abstracts and full text. Please note that you must be a subscriber to enjoy full-text access.

This Table of Contents is for individual, noncommercial uses only. A limited license is granted to individuals to print or electronically store this document on their personal computer or workstation.

INDIVIDUALS RECEIVING THIS TABLE OF CONTENTS ARE NOT GRANTED LICENSE TO ALTER, REDISTRIBUTE, OR CHARGE FOR A COPY OF THIS DOCUMENT, OR ANY OF ITS COMPONENTS.

If you would like to be removed from the list of recipients for this Table of Contents alert, please go to http://jvstb.aip.org/alert.jsp, enter your email address and select the "unsubscribe" option.


Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures -- November 2007

Volume 25, Issue 6 , pp. 1743-2664

[ Previous Issue | Available Volumes | Issue Index ]

  • Review Article
  • Regular Articles
  • PAPERS FROM THE 51st INTERNATIONAL CONFERENCE ON ELECTRON, ION, AND PHOTON BEAM TECHNOLOGY AND NANOFABRICATION
  • Review Article

  • Extreme ultraviolet lithography: A review
    Banqiu Wu and Ajay Kumar
    pp. 1743-1761
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (617 kB)  GZipped PS   ]    Order
  • Regular Articles

  • Effect of process parameters on via formation in Si using deep reactive ion etching
    I. U. Abhulimen, S. Polamreddy, S. Burkett, L. Cai, and L. Schaper
    pp. 1762-1770
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (873 kB)  GZipped PS   ]    Order
  • Fabrication of a Fresnel zone plate through electron beam lithographic process and its application to measuring of critical dimension scanning electron microscope performance
    J. Kim, K. Jalhadi, S.-Y. Lee, and D. C. Joy
    pp. 1771-1775
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (404 kB)  GZipped PS   ]    Order
  • Defects in HgTe grown by molecular beam epitaxy on (211)B-oriented CdZnTe substrates
    E. Selvig, C. R. Tonheim, K. O. Kongshaug, T. Skauli, T. Lorentzen, and R. Haakenaasen
    pp. 1776-1784
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (725 kB)  GZipped PS   ]    Order
  • Enhanced field emission from carbon nanotubes with a thin layer of low work function barium strontium oxide surface coating
    Feng Jin, Yan Liu, Christopher M. Day, and Scott A. Little
    pp. 1785-1788
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (417 kB)  GZipped PS   ]    Order
  • Development of chemical-mechanical polished high-resolution zone plates
    Stefan Rehbein, Peter Guttmann, Stephan Werner, and Gerd Schneider
    pp. 1789-1793
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (781 kB)  GZipped PS   ]    Order
  • Electrical properties and deep traps in ZnO films grown by molecular beam epitaxy
    A. Y. Polyakov, N. B. Smirnov, A. I. Belogorokhov, A. V. Govorkov, E. A. Kozhukhova, A. V. Osinsky, J. Q. Xie, B. Hertog, and S. J. Pearton
    pp. 1794-1798
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (352 kB)  GZipped PS   ]    Order
  • Proposed single layer composite film used as high transmission phase shifting masks for the 32, 45, and 65  nm technology nodes
    Fu-Der Lai and Jian Long Huang
    pp. 1799-1803
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (347 kB)  GZipped PS   ]    Order
  • Critical parameter determination of sonic flow controller diamond microtubes and micronozzles
    S. S. Mammana, F. T. Degasperi, M. C. Salvadori, D. C. Sparapani, M. F. Laino, R. C. Rangel, F. S. Teixeira, and M. Cattani
    pp. 1804-1807
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (240 kB)  GZipped PS   ]    Order
  • On-wafer monitoring of charge accumulation and sidewall conductivity in high-aspect-ratio contact holes during SiO2 etching process
    Butsurin Jinnai, Toshiyuki Orita, Mamoru Konishi, Jun Hashimoto, Yoshinari Ichihashi, Akito Nishitani, Shingo Kadomura, Hiroto Ohtake, and Seiji Samukawa
    pp. 1808-1813
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (634 kB)  GZipped PS   ]    Order
  • Influence of RuO2 nanoparticles on electron emission from carbon nanotubes
    Huarong Liu, Tsuneyuki Noguchi, and Shigeki Kato
    pp. 1814-1818
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (432 kB)  GZipped PS   ]    Order
  • Yield improvement of 0.13  µm Cu/low-k dual-damascene interconnection by organic cleaning process
    Nam-Hoon Kim, Sang-Yong Kim, Hyun-Ki Lee, Kang-Yeon Lee, Chang-Il Kim, and Eui-Goo Chang
    pp. 1819-1822
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (555 kB)  GZipped PS   ]    Order
  • Growth of ZnSe nanowires by pulsed-laser deposition
    Tinwei Zhang, Yiqun Shen, Wei Hu, Jian Sun, Jiada Wu, Zhifeng Ying, and Ning Xu
    pp. 1823-1826
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (466 kB)  GZipped PS   ]    Order
  • Fabrication of periodic microstructures on flexible polyimide membranes
    D. J. Shelton, J. S. Tharp, G. Zummo, W. R. Folks, and G. D. Boreman
    pp. 1827-1831
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (310 kB)  GZipped PS   ]    Order
  • Evolution of surface morphology of GaN thin films during photoelectrochemical etching
    J. H. Leach, Ü. Özgür, and H. Morkoç
    pp. 1832-1835
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (566 kB)  GZipped PS   ]    Order
  • C-doped semi-insulating GaN HFETs on sapphire substrates with a high breakdown voltage and low specific on-resistance
    Y. C. Choi, J. Shi, M. Pophristic, M. G. Spencer, and L. F. Eastman
    pp. 1836-1841
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (386 kB)  GZipped PS   ]    Order
  • Large-scale growth of single-walled carbon nanotubes using cold-wall chemical vapor deposition
    K. Y. Shin, C. T. Lee, J. S. Kao, C. C. Kei, C. M. Chang, C. N. Hsiao, J. H. Liang, K. C. Leou, and C. H. Tsai
    pp. 1842-1846
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (752 kB)  GZipped PS   ]    Order
  • Formation of single crystal sulfur supersaturated silicon based junctions by pulsed laser melting
    Malek Tabbal, Taegon Kim, Jeffrey M. Warrender, Michael J. Aziz, B. L. Cardozo, and R. S. Goldman
    pp. 1847-1852
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (650 kB)  GZipped PS   ]    Order
  • Effects and mechanisms of nitrogen incorporation into hafnium oxide by plasma immersion implantation
    Hei Wong, Banani Sen, B. L. Yang, A. P. Huang, and P. K. Chu
    pp. 1853-1858
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (605 kB)  GZipped PS   ]    Order
  • Characterization of ruthenium thin films as capping layer for extreme ultraviolet lithography mask blanks
    Pei-yang Yan, Eberhard Spiller, and Paul Mirkarimi
    pp. 1859-1866
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (759 kB)  GZipped PS   ]    Order
  • Zero-dimensional analysis for discharge characteristics
    Wontaek Park
    pp. 1867-1869
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (143 kB)  GZipped PS   ]    Order
  • Highly selective zero-bias plasma etching of GaN over AlGaN
    Michael L. Schuette and Wu Lu
    pp. 1870-1874
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (554 kB)  GZipped PS   ]    Order
  • Structural and optical characterization of WO3 nanorods/films prepared by oblique angle deposition
    W. Smith, Z.-Y. Zhang, and Y.-P. Zhao
    pp. 1875-1881
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (714 kB)  GZipped PS   ]    Order
  • Ion energy control at substrates during plasma etching of patterned structures
    R. Silapunt, A. E. Wendt, and K. H. R. Kirmse
    pp. 1882-1887
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (254 kB)  GZipped PS   ]    Order
  • Thermal model for a superstrate cooling apparatus for an integrated in-line manufacturing process for thin film photovoltaic devices
    R. A. Enzenroth, K. L. Barth, W. S. Sampath, and V. Manivannan
    pp. 1888-1891
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (67 kB)  GZipped PS   ]    Order
  • Structure and magnetic property of c-axis oriented L10-FePt nanoparticles on TiN/a-Si underlayers
    Yoshiko Tsuji, Suguru Noda, and Yukio Yamaguchi
    pp. 1892-1895
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (539 kB)  GZipped PS   ]    Order
  • Influence of different surface-passivation dielectrics on high-temperature strain relaxation of AlGaN in AlGaN/GaN heterostructures
    D. J. Chen, Y. Q. Tao, C. Chen, Z. L. Xie, Z. Y. Zhai, X. S. Wu, P. Han, R. Zhang, and Y. D. Zheng
    pp. 1896-1898
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (69 kB)  GZipped PS   ]    Order
  • Effects of surface plasmon resonant scattering on the power conversion efficiency of organic thin-film solar cells
    Y. C. Chang, F. Y. Chou, P. H. Yeh, H. W. Chen, S.-H. Chang, Y. C. Lan, T. F. Guo, T. C. Tsai, and C. T. Lee
    pp. 1899-1902
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (309 kB)  GZipped PS   ]    Order
  • Optimized plasma-deposited fluorocarbon coating for dry release and passivation of thin SU-8 cantilevers
    Stephan Keller, Daniel Haefliger, and Anja Boisen
    pp. 1903-1908
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (558 kB)  GZipped PS   ]    Order
  • Structural characteristics of single-crystal nanowires grown by self-catalytic chemical vapor deposition method
    Maoqi He and S. Noor Mohammad
    pp. 1909-1915
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (447 kB)  GZipped PS   ]    Order
  • Atomic diffusion and electronic structure in Al0.52In0.48P/GaAs heterostructures
    P. E. Smith, M. Lueck, S. A. Ringel, and L. J. Brillson
    pp. 1916-1921
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (707 kB)  GZipped PS   ]    Order
  • Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
    Seokhoon Kim, Sanghyun Woo, Hyungchul Kim, Wooho Jeong, Taeyong Park, Honggyu Kim, Sung Bae Kim, and Hyeongtag Jeon
    pp. 1922-1927
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (197 kB)  GZipped PS   ]    Order
  • Mechanisms of porous dielectric film modification induced by reducing and oxidizing ash plasmas
    N. Posseme, T. Chevolleau, T. David, M. Darnon, O. Louveau, and O. Joubert
    pp. 1928-1940
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (781 kB)  GZipped PS   ]    Order
  • PAPERS FROM THE 51st INTERNATIONAL CONFERENCE ON ELECTRON, ION, AND PHOTON BEAM TECHNOLOGY AND NANOFABRICATION


    Directed Self Assembly
    [ Next Subject | Issue Index | Top / Bottom of Page]

  • Graphoepitaxial cylindrical block copolymer nanodomains evaluated as bit patterned media template
    Shuaigang Xiao and XiaoMin Yang
    pp. 1953-1957
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (908 kB)  GZipped PS   ]    Order
  • Imaging layers for the directed assembly of block copolymer films: Dependence of the physical and chemical properties of patterned polymer brushes on brush molecular weight
    K. O. Stuen, I. In, E. Han, J. A. Streifer, R. J. Hamers, P. F. Nealey, and P. Gopalan
    pp. 1958-1962
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (185 kB)  GZipped PS   ]    Order
  • Pattern transfer using poly(styrene-block-methyl methacrylate) copolymer films and reactive ion etching
    Chi-Chun Liu, Paul F. Nealey, Yuk-Hong Ting, and Amy E. Wendt
    pp. 1963-1968
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (838 kB)  GZipped PS   ]    Order
  • Exploring the manufacturability of using block copolymers as resist materials in conjunction with advanced lithographic tools
    Gordon S. W. Craig and Paul F. Nealey
    pp. 1969-1975
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1162 kB)  GZipped PS   ]    Order
  • Submicron aligned wafer bonding via capillary forces
    Michael R. Tupek and Kevin T. Turner
    pp. 1976-1981
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (423 kB)  GZipped PS   ]    Order
  • Creation of sub-20-nm contact using diblock copolymer on a 300  mm wafer for complementary metal oxide semiconductor applications
    Wai-kin Li and Sam Yang
    pp. 1982-1984
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (418 kB)  GZipped PS   ]    Order
  • Nanostructures using self-assembled multilayers as molecular rulers and etch resists
    C. Srinivasan, J. N. Hohman, M. E. Anderson, P. S. Weiss, and M. W. Horn
    pp. 1985-1988
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (496 kB)  GZipped PS   ]    Order
  • Rapid partial melt crystallization of silicon for monolithic three-dimensional integration
    D. J. Witte, D. S. Pickard, F. Crnogorac, P. Pianetta, and R. F. W. Pease
    pp. 1989-1992
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (389 kB)  GZipped PS   ]    Order
  • Surface energy induced patterning of organic and inorganic materials on heterogeneous Si surfaces
    L. Tao, A. Crouch, F. Yoon, B. K. Lee, J. S. Guthi, J. Kim, J. Gao, and W. Hu
    pp. 1993-1997
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (566 kB)  GZipped PS   ]    Order

  • Electron Beam Lithography
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Influence of the development process on ultimate resolution electron beam lithography, using ultrathin hydrogen silsesquioxane resist layers
    Anda E. Grigorescu, Marco C. van der Krogt, Cees W. Hagen, and Pieter Kruit
    pp. 1998-2003
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (666 kB)  GZipped PS   ]    Order
  • Nanofabrication of high aspect ratio 24  nm x-ray zone plates for x-ray imaging applications
    Yan Feng, Michael Feser, Alan Lyon, Steve Rishton, Xianghui Zeng, Sharon Chen, Simone Sassolini, and Wenbing Yun
    pp. 2004-2007
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (956 kB)  GZipped PS   ]    Order
  • Accurate control of remaining resist depth for nanoscale three-dimensional structures in electron-beam grayscale lithography
    S.-Y. Lee and K. Anbumony
    pp. 2008-2012
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (487 kB)  GZipped PS   ]    Order
  • Optimal temperature for development of poly(methylmethacrylate)
    Bryan Cord, Jodie Lutkenhaus, and Karl K. Berggren
    pp. 2013-2016
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (471 kB)  GZipped PS   ]    Order
  • Imaging with surface sensitive backscattered electrons
    T. Luo and A. Khursheed
    pp. 2017-2019
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (262 kB)  GZipped PS   ]    Order
  • Novel magnetic microstigmator for electron beam astigmatism correction in the electron beam microcolumn system
    Rong Rong, Ho Seob Kim, Seong Soon Park, Nam Woo Hwang, Kyoung Wan Park, Sang Won Jin, and Chong H. Ahn
    pp. 2020-2024
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (894 kB)  GZipped PS   ]    Order
  • Using high-contrast salty development of hydrogen silsesquioxane for sub-10-nm half-pitch lithography
    Joel K. W. Yang and Karl K. Berggren
    pp. 2025-2029
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (624 kB)  GZipped PS   ]    Order
  • Sub-30-nm hybrid lithography (electron beam/deep ultraviolet) and etch process for fully depleted metal oxide semiconductor transistors
    S. Pauliac-Vaujour, P. Brianceau, S. Landis, J. Chiaroni, and O. Faynot
    pp. 2030-2033
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (318 kB)  GZipped PS   ]    Order
  • Enhanced stitching for the fabrication of photonic structures by electron beam lithography
    M. Gnan, D. S. Macintyre, M. Sorel, R. M. De La Rue, and S. Thoms
    pp. 2034-2037
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (479 kB)  GZipped PS   ]    Order
  • Evaluation of hybrid lithography and mix and match scenarios for electron beam direct write applications
    C. Hohle, C. Arndt, K.-H. Choi, J. Kretz, T. Lutz, F. Thrum, and K. Keil
    pp. 2038-2040
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (371 kB)  GZipped PS   ]    Order
  • Patterning issues in superconducting nanowire single photon detector fabrication
    C. Constancias, R. Espiau de Lamaëstre, O. Louveau, P. Cavalier, and J.-C. Villégier
    pp. 2041-2044
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (253 kB)  GZipped PS   ]    Order
  • Influence of temperature on HSQ electron-beam lithography
    M. Häffner, A. Haug, A. Heeren, M. Fleischer, H. Peisert, T. Chassé, and D. P. Kern
    pp. 2045-2048
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (625 kB)  GZipped PS   ]    Order
  • Extracting the Boersch effect contribution from experimental energy spread measurements for Schottky electron emitters
    M. S. Bronsgeest, J. E. Barth, G. A. Schwind, L. W. Swanson, and P. Kruit
    pp. 2049-2054
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (348 kB)  GZipped PS   ]    Order
  • Multilayer phase-only diffraction gratings: Fabrication and application to extreme ultraviolet optics
    Farhad Salmassi, Eric M. Gullikson, Erik H. Anderson, and Patrick P. Naulleau
    pp. 2055-2058
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (410 kB)  GZipped PS   ]    Order
  • Process characterization of inductively coupled plasma etched silicon nanopillars by micro-Raman
    G. M. Laws, A. Handugan, T. Eschrich, P. Boland, C. Sinclair, S. Myhajlenko, and C. D. Poweleit
    pp. 2059-2063
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (507 kB)  GZipped PS   ]    Order
  • Improving electron beam resist sensitivity by preexposure to deep ultraviolet radiation
    Raghunath Murali, Devin Brown, Kevin P. Martin, and James D. Meindl
    pp. 2064-2067
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (447 kB)  GZipped PS   ]    Order
  • Fabrication of spiral-phase diffractive elements using scanning-electron-beam lithography
    Hsin-Yu Tsai, Henry I. Smith, and Rajesh Menon
    pp. 2068-2071
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (571 kB)  GZipped PS   ]    Order
  • Real-time spatial-phase locking for vector-scan electron beam lithography
    Yugu Yang and J. T. Hastings
    pp. 2072-2076
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (472 kB)  GZipped PS   ]    Order
  • Metal-semiconductor-metal electron detectors
    Rafael Aldana and R. Fabian Pease
    pp. 2077-2080
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (712 kB)  GZipped PS   ]    Order
  • Nanometer-scale gaps in hydrogen silsesquioxane resist for T-gate fabrication
    Niu Jin, Sookyung Choi, Liang Wang, Guang Chen, DongHyun Kim, Vipan Kumar, and Ilesanmi Adesida
    pp. 2081-2084
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (496 kB)  GZipped PS   ]    Order
  • Effects of developer temperature on electron-beam-exposed hydrogen silsesquioxane resist for ultradense silicon nanowire fabrication
    Sookyung Choi, Niu Jin, Vipan Kumar, Ilesanmi Adesida, and Mark Shannon
    pp. 2085-2088
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (322 kB)  GZipped PS   ]    Order

  • EUV Lithography
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Extreme ultraviolet lithography: From research to manufacturing
    Bruno La Fontaine, Yunfei Deng, Ryoung-han Kim, Harry J. Levinson, Uzodinma Okoroanyanwu, Richard Sandberg, Tom Wallow, and Obert Wood
    pp. 2089-2093
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (435 kB)  GZipped PS   ]    Order
  • Nanoscale patterning in high resolution HSQ photoresist by interferometric lithography with tabletop extreme ultraviolet lasers
    P. W. Wachulak, M. G. Capeluto, M. C. Marconi, D. Patel, C. S. Menoni, and J. J. Rocca
    pp. 2094-2097
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (304 kB)  GZipped PS   ]    Order
  • Growth and printability of multilayer phase defects on extreme ultraviolet mask blanks
    Ted Liang, Erdem Ultanir, Guojing Zhang, Seh-Jin Park, Erik Anderson, Eric Gullikson, Patrick Naulleau, Farhad Salmassi, Paul Mirkarimi, Eberhard Spiller, and Sherry Baker
    pp. 2098-2103
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (568 kB)  GZipped PS   ]    Order
  • Evaluation of surface roughness of Zerodur® substrates machined by Ar+ ion beam with energy of 3–10  keV
    Yuichi Kurashima, Ryou Uozumi, Iwao Miyamoto, Manabu Ando, and Atsushi Numata
    pp. 2104-2109
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (815 kB)  GZipped PS   ]    Order
  • Figuring and smoothing capabilities of elastic emission machining for low-thermal-expansion glass optics
    M. Kanaoka, C. Liu, K. Nomura, M. Ando, H. Takino, Y. Fukuda, H. Mimura, K. Yamauchi, and Y. Mori
    pp. 2110-2113
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (231 kB)  GZipped PS   ]    Order
  • Estimation of diffusion lengths of acid and quencher in chemically amplified resist on the basis of extreme ultraviolet exposure results
    Yuusuke Tanaka, Yukiko Kikuchi, DooHoon Goo, Hiroaki Oizumi, and Iwao Nishiyama
    pp. 2114-2117
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (970 kB)  GZipped PS   ]    Order
  • In situ x-ray absorption near-edge structure analysis for extreme ultraviolet lithography projection optics contamination
    Masahito Niibe, Yukinobu Kakutani, Keigo Koida, Shuichi Matsunari, Takashi Aoki, Shigeru Terashima, Hiromitsu Takase, Katsuhiko Murakami, and Yasuaki Fukuda
    pp. 2118-2122
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (437 kB)  GZipped PS   ]    Order
  • Bit-array patterns with density over 1  Tbit/in.2 fabricated by extreme ultraviolet interference lithography
    Harun H. Solak and Yasin Ekinci
    pp. 2123-2126
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (388 kB)  GZipped PS   ]    Order
  • Extreme ultraviolet lithography at IMEC: Shadowing compensation and flare mitigation strategy
    G. F. Lorusso, A. M. Goethals, R. Jonckheere, J. Hermans, K. Ronse, A. M. Myers, I. Kim, A. Niroomand, F. Iwamoto, and D. Ritter
    pp. 2127-2131
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (407 kB)  GZipped PS   ]    Order
  • Advanced resist testing using the SEMATECH Berkeley extreme ultraviolet microfield exposure tool
    Patrick P. Naulleau, Christopher N. Anderson, Kim Dean, Paul Denham, Kenneth A. Goldberg, Brian Hoef, Dimitra Niakoula, Bruno La Fontaine, and Tom Wallow
    pp. 2132-2135
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (397 kB)  GZipped PS   ]    Order
  • Effects of photoacid generator incorporation into the polymer main chain on 193  nm chemically amplified resist behavior and lithographic performance
    Cheng-Tsung Lee, Clifford L. Henderson, Mingxing Wang, Kenneth E. Gonsalves, and Wang Yueh
    pp. 2136-2139
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (216 kB)  GZipped PS   ]    Order
  • Influence of solubility switching mechanism on resist performance in molecular glass resists
    Richard A. Lawson, Cheng-Tsung Lee, Clifford L. Henderson, Robert Whetsell, Laren Tolbert, and Wang Yueh
    pp. 2140-2144
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (309 kB)  GZipped PS   ]    Order
  • Progress in extreme ultraviolet interferometric and holographic lithography
    A. Isoyan, Y.-C. Cheng, F. Jiang, J. Wallace, F. Cerrina, and S. Bollepalli
    pp. 2145-2150
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (659 kB)  GZipped PS   ]    Order
  • Dual-domain scanning illuminator for the SEMATECH Berkeley microfield exposure tool
    Christopher N. Anderson, Patrick P. Naulleau, Paul Denham, Drew Kemp, and Senajith Rekawa
    pp. 2151-2154
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (449 kB)  GZipped PS   ]    Order
  • Analysis of Coulomb and Johnsen-Rahbek electrostatic chuck performance for extreme ultraviolet lithography
    M. R. Sogard, A. R. Mikkelson, M. Nataraju, K. T. Turner, and R. L. Engelstad
    pp. 2155-2161
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (768 kB)  GZipped PS   ]    Order

  • Ion Beam Lithography and X-ray Lithography
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Production of noble gas ion beams in a focused ion beam machine using an electron beam ion trap
    Falk Ullmann, Frank Grossmann, Vladimir P. Ovsyannikov, Jacques Gierak, Eric Bourhis, Jacques Ferré, Jean Pierre Jamet, Alexandra Mougin, and Günter Zschornack
    pp. 2162-2167
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (732 kB)  GZipped PS   ]    Order
  • Fabrication of zinc nanotip arrays by ion beam sputtering
    Liang-Chiun Chao, Chung-Chi Liau, Jun-Wei Lee, and Fu-Chieh Tsai
    pp. 2168-2170
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (551 kB)  GZipped PS   ]    Order
  • Fabrication of three-dimensional structures of resist by proton beam writing
    Yusuke Furuta, Naoyuki Uchiya, Hiroyuki Nishikawa, Junji Haga, Takahiro Sato, Masakazu Oikawa, Yasuyuki Ishii, and Tomihiro Kamiya
    pp. 2171-2174
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (596 kB)  GZipped PS   ]    Order
  • Focused ion beam iodine-enhanced etching of high aspect ratio holes in InP photonic crystals
    V. Callegari, P. M. Nellen, J. Kaufmann, P. Strasser, F. Robin, and U. Sennhauser
    pp. 2175-2179
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (515 kB)  GZipped PS   ]    Order
  • Deposition of carbonaceous structures using focused Au and Si ion-beam-induced chemical vapor deposition methods
    Ryo Okada, Takuma Yo, Junichi Yanagisawa, and Shinji Matsui
    pp. 2180-2183
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (547 kB)  GZipped PS   ]    Order
  • Membrane folding by helium ion implantation for three-dimensional device fabrication
    William J. Arora, Sybren Sijbrandij, Lewis Stern, John Notte, Henry I. Smith, and George Barbastathis
    pp. 2184-2187
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (253 kB)  GZipped PS   ]    Order
  • Design studies for a high brightness, energetic neutral atom source for proximity lithography
    Hong-jie Guo, Barry Craver, Jackson Reynolds, and John C. Wolfe
    pp. 2188-2191
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (190 kB)  GZipped PS   ]    Order
  • Mechanical nanostepping for atom beam lithography
    B. Craver, A. Roy, H. Nounu, and J. C. Wolfe
    pp. 2192-2195
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (376 kB)  GZipped PS   ]    Order
  • Fabrication of a needle array using a Si gray mask for x-ray lithography
    Harutaka Mekaru, Takayuki Takano, Koichi Awazu, Masaharu Takahashi, and Ryutaro Maeda
    pp. 2196-2201
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (729 kB)  GZipped PS   ]    Order

  • Maskless Lithography
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Challenges in 1  Teradot/in.2 dot patterning using electron beam lithography for bit-patterned media
    XiaoMin Yang, Shuaigang Xiao, Wei Wu, Yuan Xu, Keith Mountfield, Robert Rottmayer, Kim Lee, David Kuo, and Dieter Weller
    pp. 2202-2209
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1726 kB)  GZipped PS   ]    Order
  • In situ monitoring and control of material growth for high resolution electron beam induced deposition
    W. F. van Dorp, C. W. Hagen, P. A. Crozier, and P. Kruit
    pp. 2210-2214
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (457 kB)  GZipped PS   ]    Order
  • Redeposition characteristics of focused ion beam milling for nanofabrication
    D. A. M. de Winter and J. J. L. Mulders
    pp. 2215-2218
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (570 kB)  GZipped PS   ]    Order
  • Resolution in focused electron- and ion-beam induced processing
    Ivo Utke, Vinzenz Friedli, Martin Purrucker, and Johann Michler
    pp. 2219-2223
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (333 kB)  GZipped PS   ]    Order
  • Fabrication of 22  nm half-pitch silicon lines by single-exposure self-aligned spatial-frequency doubling
    Alex K. Raub, Dong Li, Andrew Frauenglass, and S. R. J. Brueck
    pp. 2224-2227
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (336 kB)  GZipped PS   ]    Order
  • Focused electron beam induced deposition of nickel
    A. Perentes, G. Sinicco, G. Boero, B. Dwir, and P. Hoffmann
    pp. 2228-2232
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (208 kB)  GZipped PS   ]    Order
  • Oxygen assisted focused electron beam induced deposition of Si-containing materials: Growth dynamics
    A. Perentes and P. Hoffmann
    pp. 2233-2238
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (212 kB)  GZipped PS   ]    Order
  • High brightness 100-electron-beam source for high-resolution applications
    Yanxia Zhang and P. Kruit
    pp. 2239-2244
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (547 kB)  GZipped PS   ]    Order
  • Cathode ray tube type electron gun as a source for multibeam electron lithography
    A. J. van den Brom, A. H. V. van Veen, W. M. Weeda, G. Z. M. Berglund, M. Wieland, and P. Kruit
    pp. 2245-2249
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (165 kB)  GZipped PS   ]    Order
  • Annealing of electron beam induced deposits of platinum from Pt(PF3)4
    Matthew H. Ervin, Daniel Chang, Barbara Nichols, Alma Wickenden, John Barry, and John Melngailis
    pp. 2250-2254
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (758 kB)  GZipped PS   ]    Order
  • Electrospun DNA nanofibers
    Leon M. Bellan, Elizabeth A. Strychalski, and Harold G. Craighead
    pp. 2255-2257
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (231 kB)  GZipped PS   ]    Order
  • Multiple beam sub-80-nm lithography with miniature electron beam column arrays
    C. S. Silver, J. P. Spallas, and L. P. Muray
    pp. 2258-2265
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1000 kB)  GZipped PS   ]    Order
  • CsBr/GaN heterojunction photoelectron source
    Juan R. Maldonado, Zhi Liu, Yun Sun, Scott Schuetter, Piero Pianetta, and R. F. W. Pease
    pp. 2266-2270
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (758 kB)  GZipped PS   ]    Order
  • Subwavelength proximity nanolithography using a plasmonic lens
    Sungkyu Seo, Hyun Chul Kim, Hyungduk Ko, and Mosong Cheng
    pp. 2271-2276
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (530 kB)  GZipped PS   ]    Order
  • Monolithic multichannel secondary electron detector for distributed axis electron beam lithography and inspection
    D. S. Pickard, C. Kenney, S. Tanimoto, T. Crane, T. Groves, and R. F. W. Pease
    pp. 2277-2283
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (954 kB)  GZipped PS   ]    Order
  • Atomic-force lithography with interferometric tip-to-substrate position metrology
    Euclid E. Moon, Jan Kupec, Mark K. Mondol, Henry I. Smith, and Karl K. Berggren
    pp. 2284-2287
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (363 kB)  GZipped PS   ]    Order

  • Modeling Simulation and CAD
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Electron beam and optical proximity effect reduction for nanolithography: New results
    Martin Peckerar, David Sander, Ankur Srivastava, Adakou Foli, and Uzi Vishkin
    pp. 2288-2294
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (303 kB)  GZipped PS   ]    Order
  • Image contrast slope and line edge roughness of chemically amplified resists for postoptical lithography
    Takahiro Kozawa, Seiichi Tagawa, Julius Joseph Santillan, Minoru Toriumi, and Toshiro Itani
    pp. 2295-2300
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (260 kB)  GZipped PS   ]    Order
  • Study of the assist features effect on the through focus behavior in isoline with an innovative method
    Jianliang Li, Qiliang Yan, and Lawrence S. Melvin, III
    pp. 2301-2306
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (406 kB)  GZipped PS   ]    Order
  • Pattern matching, simulation, and metrology of complex layouts fabricated by electron beam lithography
    N. Tsikrikas, D. Drygiannakis, G. P. Patsis, I. Raptis, A. Gerardino, S. Stavroulakis, and E. Voyiatzis
    pp. 2307-2311
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (530 kB)  GZipped PS   ]    Order

  • Nanoimprint and Soft Lithography
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Visualization of mold filling stages in thermal nanoimprint by using pressure gradients
    Helmut Schift, Sandro Bellini, Morten Bo Mikkelsen, and Jens Gobrecht
    pp. 2312-2316
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (516 kB)  GZipped PS   ]    Order
  • Ultrastiff stage for imprint lithography
    Y. Jeon, M. Feldman, and L. Jiang
    pp. 2317-2320
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (263 kB)  GZipped PS   ]    Order
  • Chemical nanoimprint lithography for step-and-repeat Si patterning
    Hideo Namatsu, Masatoshi Oda, Atsushi Yokoo, Makoto Fukuda, Koichi Irisa, Shigeyuki Tsurumi, and Kazuhiko Komatsu
    pp. 2321-2324
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (393 kB)  GZipped PS   ]    Order
  • Optimizing nanoimprint and transfer-bonding techniques for three-dimensional polymer microstructures
    Hyunsoo Park, Huifeng Li, and Xing Cheng
    pp. 2325-2328
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (267 kB)  GZipped PS   ]    Order
  • Fabrication of terahertz holograms
    E. D. Walsby, J. Alton, C. H. Worrall, H. E. Beere, D. A. Ritchie, J. Leach, M. Padgett, and D. R. S. Cumming
    pp. 2329-2332
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (416 kB)  GZipped PS   ]    Order
  • UV-nanoimprint with the assistance of gas condensation at atmospheric environmental pressure
    Hiroshi Hiroshima and Masanori Komuro
    pp. 2333-2336
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (577 kB)  GZipped PS   ]    Order
  • Fabrication of 3D-photonic crystals via UV-nanoimprint lithography
    Thomas Glinsner, Paul Lindner, Michael Mühlberger, Iris Bergmair, Rainer Schöftner, Kurt Hingerl, Holger Schmid, and Ernst-Bernhard Kley
    pp. 2337-2340
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (408 kB)  GZipped PS   ]    Order
  • Time dependent analysis of the resist deformation in thermal nanoimprint
    Yoshihiko Hirai, Yuki Onishi, Toshiaki Tanabe, Masayoshi Nishihata, Takuya Iwasaki, Hiroaki Kawata, and Yasuroh Iriye
    pp. 2341-2345
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (585 kB)  GZipped PS   ]    Order
  • Nanoimprint lithography processes on 200  mm Si wafer for optical application: Residual thickness etching anisotropy
    N. Chaix, C. Gourgon, C. Perret, S. Landis, and T. Leveder
    pp. 2346-2351
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (960 kB)  GZipped PS   ]    Order
  • Stretching and selective immobilization of DNA in SU-8 micro- and nanochannels
    B. Yang, V. R. Dukkipati, D. Li, B. L. Cardozo, and S. W. Pang
    pp. 2352-2356
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (271 kB)  GZipped PS   ]    Order
  • Fabrication of three dimensional structures for an UV curable nanoimprint lithography mold using variable dose control with critical-energy electron beam exposure
    K. Mohamed, M. M. Alkaisi, and R. J. Blaikie
    pp. 2357-2360
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (555 kB)  GZipped PS   ]    Order
  • Sub-100-nm three-dimensional nanoimprint lithography
    Noriyuki Unno, Jun Taniguchi, and Yoshiaki Ishii
    pp. 2361-2364
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (653 kB)  GZipped PS   ]    Order
  • Surface characterization of imprinted resist above glass transition temperature
    T. Lévéder, S. Landis, L. Davoust, S. Soulan, J.-H. Tortai, and N. Chaix
    pp. 2365-2369
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (455 kB)  GZipped PS   ]    Order
  • Micro-nano mixture patterning by thermal-UV novel nanoimprint
    Keisuke Okuda, Naoyuki Niimi, Hiroaki Kawata, and Yoshihiko Hirai
    pp. 2370-2372
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (396 kB)  GZipped PS   ]    Order
  • Benchmarking of 50  nm features in thermal nanoimprint
    C. Gourgon, N. Chaix, H. Schift, M. Tormen, S. Landis, C. M. Sotomayor Torres, A. Kristensen, R. H. Pedersen, M. B. Christiansen, I. Fernandez-Cuesta, D. Mendels, L. Montelius, and T. Haatainen
    pp. 2373-2378
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (512 kB)  GZipped PS   ]    Order
  • Coarse-grain simulation of viscous flow and stamp deformation in nanoimprint
    V. Sirotkin, A. Svintsov, S. Zaitsev, and H. Schift
    pp. 2379-2383
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (814 kB)  GZipped PS   ]    Order
  • Photopolymerization kinetic study of UV nanoimprint lithography dedicated resists
    P. Voisin, M. Zelsmann, H. Ridaoui, M. Chouiki, C. Gourgon, J. Boussey, and K. Zahouily
    pp. 2384-2387
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (197 kB)  GZipped PS   ]    Order
  • Bilayer metal wire-grid polarizer fabricated by roll-to-roll nanoimprint lithography on flexible plastic substrate
    Se Hyun Ahn, Jin-Sung Kim, and L. Jay Guo
    pp. 2388-2391
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (409 kB)  GZipped PS   ]    Order
  • Impact of glass temperature for thermal nanoimprint
    H.-C. Scheer, N. Bogdanski, M. Wissen, and S. Möllenbeck
    pp. 2392-2395
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (276 kB)  GZipped PS   ]    Order
  • Characterizing nanoimprint profile shape and polymer flow behavior using visible light angular scatterometry
    Rayan M. Al-Assaad, Suresh Regonda, Li Tao, Stella W. Pang, and Wenchuang (Walter) Hu
    pp. 2396-2401
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (468 kB)  GZipped PS   ]    Order
  • Nonresidual layer imprinting and new replication capabilities demonstrated for fast thermal curable polydimethysiloxanes
    Carlos Pina-Hernandez, Jin-Sung Kim, Peng-Fei Fu, and L. Jay Guo
    pp. 2402-2406
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (454 kB)  GZipped PS   ]    Order
  • Simple fabrication of UV nanoimprint templates using critical energy electron beam lithography
    Jaebum Joo, Kimin Jun, and Joseph M. Jacobson
    pp. 2407-2411
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (403 kB)  GZipped PS   ]    Order
  • Creating micro- and nanostructures on tubular and spherical surfaces
    O. Lima, L. Tan, A. Goel, M. Negahban, and Z. Li
    pp. 2412-2418
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (918 kB)  GZipped PS   ]    Order
  • Solid-state electrochemical nanoimprinting of copper
    Peter L. Schultz, Keng H. Hsu, Nicholas X. Fang, and Placid M. Ferreira
    pp. 2419-2424
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (624 kB)  GZipped PS   ]    Order
  • Economic approximate models for backscattered electrons
    Leili Baghaei Rad, Ian Downes, Jun Ye, David Adler, and R. Fabian W. Pease
    pp. 2425-2429
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (628 kB)  GZipped PS   ]    Order
  • Improved release strategy for UV nanoimprint lithography
    Sophie Garidel, Marc Zelsmann, Nicolas Chaix, Pauline Voisin, Jumana Boussey, Arnaud Beaurain, and Bernard Pelissier
    pp. 2430-2434
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (327 kB)  GZipped PS   ]    Order
  • Viscosity measurement of nanoimprint lithography resists with a rheological nanoindenter
    A. A. Svintsov, O. V. Trofimov, and S. I. Zaitsev
    pp. 2435-2438
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (779 kB)  GZipped PS   ]    Order

  • Optical Lithography
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Phase control in multiexposure spatial frequency multiplication
    Yong Zhao, Chih-Hao Chang, Ralf K. Heilmann, and Mark L. Schattenburg
    pp. 2439-2443
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (705 kB)  GZipped PS   ]    Order
  • Study of process contributions to total overlay error budget for sub-60-nm memory devices
    Jangho Shin, Hyunjae Kang, SungWon Choi, Seoukhoon Woo, Hochul Kim, SukJoo Lee, Junghyeon Lee, and Chang-Jin Kang
    pp. 2444-2446
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (248 kB)  GZipped PS   ]    Order
  • Deep ultraviolet photolithography capability of ZEP520A electron beam resist for mix and match lithography
    Devin K. Brown
    pp. 2447-2450
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (542 kB)  GZipped PS   ]    Order
  • Photolithography using an optical microscope
    Ron Gonski and John Melngailis
    pp. 2451-2452
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (282 kB)  GZipped PS   ]    Order
  • Experimental demonstration of dark field illumination using contact hole features
    Michael M. Crouse, Emil Schmitt-Weaver, Steven G. Hansen, and Robert Routh
    pp. 2453-2460
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1334 kB)  GZipped PS   ]    Order
  • Double patterning overlay budget for 45  nm technology node single and double mask approach
    Pierluigi Rigolli, Catia Turco, Umberto Iessi, Gianfranco Capetti, Paolo Canestrari, and Aldo Fradilli
    pp. 2461-2465
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (484 kB)  GZipped PS   ]    Order
  • Application of contrast enhancement layer to 193  nm lithography
    Ryoung-han Kim and Harry J. Levinson
    pp. 2466-2470
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (592 kB)  GZipped PS   ]    Order
  • Lithography, plasmonics, and subwavelength aperture exposure technology
    Yves Ngu, Marty Peckerar, Mario Dagenais, John Barry, and Birendra (Raj) Dutt
    pp. 2471-2475
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (455 kB)  GZipped PS   ]    Order
  • Laser interferometric nanolithography using a new positive chemical amplified resist
    R. Luttge, H. A. G. M. van Wolferen, and L. Abelmann
    pp. 2476-2480
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (477 kB)  GZipped PS   ]    Order

  • Photoresist Technology
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Acid distribution in chemically amplified extreme ultraviolet resist
    Takahiro Kozawa, Seiichi Tagawa, Heidi B. Cao, Hai Deng, and Michael J. Leeson
    pp. 2481-2485
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (169 kB)  GZipped PS   ]    Order
  • Dissolution characteristics and reaction kinetics of molecular resists for extreme-ultraviolet lithography
    Minoru Toriumi, Julius Santillan, Toshiro Itani, Takahiro Kozawa, and Seiichi Tagawa
    pp. 2486-2489
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (85 kB)  GZipped PS   ]    Order
  • Are extreme ultraviolet resists ready for the 32  nm node?
    Karen Petrillo, Yayi Wei, R. Brainard, G. Denbeaux, Dario Goldfarb, C.-S. Koay, J. Mackey, Warren Montgomery, W. Pierson, T. Wallow, and Obert Wood
    pp. 2490-2495
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (668 kB)  GZipped PS   ]    Order
  • Measurements of acid generation by extreme ultraviolet irradiation in lithographic films
    Martin Glodde, Dario L. Goldfarb, David R. Medeiros, Gregory M. Wallraff, and Gregory P. Denbeaux
    pp. 2496-2503
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (520 kB)  GZipped PS   ]    Order
  • Geometry impact on ultrahigh resolution pattern collapse
    A. Jouve, J. Simon, L. Gonon, and J. H. Tortai
    pp. 2504-2507
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (345 kB)  GZipped PS   ]    Order
  • Pixelated chemically amplified resists: Investigation of material structure on the spatial distribution of photoacids and line edge roughness
    Young-Hye La, Insik-In, Sang-Min Park, Robert P. Meagley, Melvina Leolukman, Padma Gopalan, and Paul F. Nealey
    pp. 2508-2513
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (532 kB)  GZipped PS   ]    Order
  • Direct measurement of the spatial extent of the in situ developed latent image by neutron reflectivity
    Vivek M. Prabhu, Bryan D. Vogt, Shuhui Kang, Ashwin Rao, Eric K. Lin, and Sushil K. Satija
    pp. 2514-2520
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (500 kB)  GZipped PS   ]    Order

  • Metrology
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • High throughput defect detection with multiple parallel electron beams
    H. M. P. van Himbergen, M. D. Nijkerk, P. W. H. de Jager, T. C. Hosman, and P. Kruit
    pp. 2521-2525
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (396 kB)  GZipped PS   ]    Order
  • Photoresist cross-sectioning with negligible damage using a dual-beam FIB-SEM: A high throughput method for profile imaging
    James S. Clarke, Michael B. Schmidt, and Ndubuisi G. Orji
    pp. 2526-2530
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (558 kB)  GZipped PS   ]    Order

  • Nanodevices
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Hybrid semiconductor/nanoelectronic circuits: Freeing advanced lithography from the alignment accuracy burden
    Konstantin K. Likharev
    pp. 2531-2536
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (739 kB)  GZipped PS   ]    Order
  • Photolithographic synthesis of high-density DNA probe arrays: Challenges and opportunities
    Adam R. Pawloski, Glenn McGall, Robert G. Kuimelis, Dale Barone, Andrea Cuppoletti, Paul Ciccolella, Eric Spence, Farhana Afroz, Paul Bury, Christy Chen, Chuan Chen, Dexter Pao, Mary Le, Becky McGee, Elizabeth Harkins et al.
    pp. 2537-2546
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (1141 kB)  GZipped PS   ]    Order
  • Helium ion microscope invasiveness and imaging study for semiconductor applications
    Richard H. Livengood, Yuval Greenzweig, Ted Liang, and Michael Grumski
    pp. 2547-2552
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (860 kB)  GZipped PS   ]    Order
  • Dry etch release processes for micromachining applications
    Tongtong Zhu, Petros Argyrakis, Enrico Mastropaolo, Kin Kiong Lee, and Rebecca Cheung
    pp. 2553-2557
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (451 kB)  GZipped PS   ]    Order
  • Mechanical properties of suspended graphene sheets
    I. W. Frank, D. M. Tanenbaum, A. M. van der Zande, and P. L. McEuen
    pp. 2558-2561
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (306 kB)  GZipped PS   ]    Order
  • Surface gate and contact alignment for buried, atomically precise scanning tunneling microscopy–patterned devices
    Martin Fuechsle, Frank J. Rueß, Thilo C. G. Reusch, Mladen Mitic, and Michelle Y. Simmons
    pp. 2562-2567
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (592 kB)  GZipped PS   ]    Order
  • Novel coexisted sol-gel derived poly-Si-oxide-nitride-oxide-silicon type memory
    Hsin-Chiang You, Chi-Chang Wu, Fu-Hsiang Ko, Tan-Fu Lei, and Wen-Luh Yang
    pp. 2568-2571
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (515 kB)  GZipped PS   ]    Order
  • Adaptive wiring for 20  nm scale epitaxial silicon Ohmic contacts to silicon nanowires
    M. J. Rooks, G. M. Cohen, J. O. Chu, P. M. Solomon, J. A. Ott, R. J. Miller, R. Viswanathan, and W. Haensch
    pp. 2572-2576
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (426 kB)  GZipped PS   ]    Order
  • Hybrid carbon nanotube-silicon complementary metal oxide semiconductor circuits
    I. Meric, V. Caruso, R. Caldwell, J. Hone, K. L. Shepard, and S. J. Wind
    pp. 2577-2580
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (397 kB)  GZipped PS   ]    Order
  • Hydrogen plasma-enhanced atomic layer deposition of copper thin films
    Liqi Wu and Eric Eisenbraun
    pp. 2581-2585
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (375 kB)  GZipped PS   ]    Order
  • Emission characteristics of Au60Be40 and Au62Si23Be15 liquid metal ion sources
    G. A. Schwind and L. W. Swanson
    pp. 2586-2592
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (129 kB)  GZipped PS   ]    Order
  • Fabrication of ultrahigh aspect ratio freestanding gratings on silicon-on-insulator wafers
    Minseung Ahn, Ralf K. Heilmann, and Mark L. Schattenburg
    pp. 2593-2597
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (818 kB)  GZipped PS   ]    Order
  • Soft x-ray imaging of spin dynamics at high spatial and temporal resolution
    Brooke L. Mesler, Peter Fischer, Weilun Chao, Erik H. Anderson, and Dong-Hyun Kim
    pp. 2598-2602
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (306 kB)  GZipped PS   ]    Order
  • Ultrathin magnetic multilayer films for low-field microwave notch filters
    Bijoy K. Kuanr, Alka V. Kuanr, T. Fal, R. E. Camley, and Z. Celinski
    pp. 2603-2606
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (291 kB)  GZipped PS   ]    Order
  • Study of fluorine bombardment on the electrical properties of AlGaN/GaN heterostructures
    Anirban Basu, Vipan Kumar, and Ilesanmi Adesida
    pp. 2607-2610
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (167 kB)  GZipped PS   ]    Order
  • Prospects for nanowire sculptured-thin-film devices
    Sean M. Pursel and Mark W. Horn
    pp. 2611-2615
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (636 kB)  GZipped PS   ]    Order
  • First-principles calculation of electronic structure and magnetic properties of copper adsorbed polar-ZnO surface
    Yoon-Suk Kim and Yong-Chae Chung
    pp. 2616-2618
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (221 kB)  GZipped PS   ]    Order
  • Nickel nanowires for planer microwave circuit applications and characterization
    Ryan L. Marson, Bijoy K. Kuanr, Sanjay R. Mishra, R. E. Camley, and Z. Celinski
    pp. 2619-2623
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (204 kB)  GZipped PS   ]    Order
  • In situ visualization of local electric field in an ultrasharp tungsten emitter under a low voltage scanning transmission electron microscope
    Jun-Ichi Fujita, Yuta Ikeda, Satoshi Okada, Kodai Higashi, Shotaro Nakasawa, Masahiko Ishida, and Shinji Matsui
    pp. 2624-2627
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (417 kB)  GZipped PS   ]    Order

  • Photonics
    [ Previous / Next Subject | Issue Index | Top / Bottom of Page]

  • Fabrication and tuning of nanoscale metallic ring and split-ring arrays
    A. K. Sheridan, A. W. Clark, A. Glidle, J. M. Cooper, and D. R. S. Cumming
    pp. 2628-2631
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (418 kB)  GZipped PS   ]    Order
  • Fabrication of two dimensional GaN nanophotonic crystals (31)
    Bifeng Rong, Huub W. M. Salemink, Erik M. Roeling, Rob van der Heijden, Fouad Karouta, and Emile van der Drift
    pp. 2632-2636
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (296 kB)  GZipped PS   ]    Order
  • Semitransparent Cu electrode on a flexible substrate and its application in organic light emitting diodes
    Myung-Gyu Kang and L. Jay Guo
    pp. 2637-2641
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (508 kB)  GZipped PS   ]    Order
  • Photoluminescence enhancement in metallic nanocomposite printable polymer
    V. Reboud, N. Kehagias, M. Striccoli, T. Placido, A. Panniello, M. L. Curri, M. Zelsmann, F. Reuther, G. Gruetzner, and C. M. Sotomayor Torres
    pp. 2642-2644
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (176 kB)  GZipped PS   ]    Order
  • Fabrication of high aspect ratio Si nanogratings with smooth sidewalls for a deep UV-blocking particle filter
    Pran Mukherjee, Myung-Gyu Kang, Thomas H. Zurbuchen, L. Jay Guo, and Fred A. Herrero
    pp. 2645-2648
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (442 kB)  GZipped PS   ]    Order
  • V-groove plasmonic waveguides fabricated by nanoimprint lithography
    Irene Fernandez-Cuesta, Rasmus Bundgaard Nielsen, Alexandra Boltasseva, Xavier Borrisé, Francesc Pérez-Murano, and Anders Kristensen
    pp. 2649-2653
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (439 kB)  GZipped PS   ]    Order
  • 58  nm half-pitch plastic wire-grid polarizer by nanoimprint lithography
    Lei Chen, Jian Jim Wang, Frank Walters, Xuegong Deng, Mike Buonanno, Stephen Tai, and Xiaoming Liu
    pp. 2654-2657
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (625 kB)  GZipped PS   ]    Order
  • Optimization of hydrogen silsesquioxane for photonic applications
    C. W. Holzwarth, T. Barwicz, and Henry I. Smith
    pp. 2658-2661
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (220 kB)  GZipped PS   ]    Order
  • Membrane stacking: A new approach for three-dimensional nanostructure fabrication
    Amil A. Patel and Henry I. Smith
    pp. 2662-2664
    Abstract    Full Text: [ HTML Sectioned HTML   PDF (292 kB)  GZipped PS   ]    Order
  • [ Previous / Issue | Available Volumes | Issue Index | Top of Page ]

    The above Table of Contents is for individual, noncommercial uses only. A limited license is granted to individuals to print or electronically store this document on their personal computer or workstation. Redistribution beyond person-to-person exchanges requires consent from the Journal of Vacuum Society and Technology B (web@jvstb.org).
    Copyright 2007 Journal of Vacuum Society and Technology B